OpenCores
URL https://opencores.org/ocsvn/marca/marca/trunk

Subversion Repositories marca

[/] [marca/] [tags/] [INITIAL/] [vhdl/] [fetch_ent.vhd] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jeunes2
--  This file is part of the marca processor.
2
--  Copyright (C) 2007 Wolfgang Puffitsch
3
 
4
--  This program is free software; you can redistribute it and/or modify it
5
--  under the terms of the GNU Library General Public License as published
6
--  by the Free Software Foundation; either version 2, or (at your option)
7
--  any later version.
8
 
9
--  This program is distributed in the hope that it will be useful,
10
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
11
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
12
--  Library General Public License for more details.
13
 
14
--  You should have received a copy of the GNU Library General Public
15
--  License along with this program; if not, write to the Free Software
16
--  Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA  02110-1301, USA
17
 
18
-------------------------------------------------------------------------------
19
-- MARCA fetch stage
20
-------------------------------------------------------------------------------
21
-- entity definition for the instruction-fetch pipeline stage
22
-------------------------------------------------------------------------------
23
 
24
-------------------------------------------------------------------------------
25
-- Wolfgang Puffitsch
26
-- Computer Architecture Lab, Group 3
27
-------------------------------------------------------------------------------
28
 
29
library IEEE;
30
use IEEE.std_logic_1164.all;
31
 
32
use work.marca_pkg.all;
33
 
34
entity fetch is
35
 
36
  port (
37
    clock   : in  std_logic;
38
    reset   : in  std_logic;
39
 
40
    hold    : in  std_logic;
41
 
42
    pcena   : in  std_logic;
43
    pc_in   : in  std_logic_vector(REG_WIDTH-1 downto 0);
44
    pc_out  : out std_logic_vector(REG_WIDTH-1 downto 0);
45
 
46
    src1    : out std_logic_vector(REG_COUNT_LOG-1 downto 0);
47
    src2    : out std_logic_vector(REG_COUNT_LOG-1 downto 0);
48
    dest    : out std_logic_vector(REG_COUNT_LOG-1 downto 0);
49
    instr   : out std_logic_vector(PDATA_WIDTH-1 downto 0));
50
 
51
end fetch;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.