OpenCores
URL https://opencores.org/ocsvn/mb-jpeg/mb-jpeg/trunk

Subversion Repositories mb-jpeg

[/] [mb-jpeg/] [trunk/] [system.log] - Blame information for rev 68

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 55 quickwayne
Xilinx Platform Studio (XPS)
2
Xilinx EDK 7.1.2 Build EDK_H.12.5.1
3
 
4
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
5
 
6
Copied file bitgen.ut from $XILINX_EDK/data/xflow directory to etc directory
7
Copied file bitgen_spartan3.ut from $XILINX_EDK/data directory to etc directory
8
Copied file fast_runtime.opt from $XILINX_EDK/data/xflow directory to etc directory
9
WARNING:MDT - Created an empty D:\mb-jpeg\data\system.ucf. If your design needs any constraints, please make changes to this UCF file.
10
Project Opened.
11
No changes to be saved in XMP file
12 56 quickwayne
Xilinx Platform Studio (XPS)
13
Xilinx EDK 7.1.2 Build EDK_H.12.5.1
14
 
15
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
16
 
17
At Local date and time: Wed Nov 01 18:28:08 2006
18
Command xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" Started...
19
*********************************************
20
Creating software libraries...
21
*********************************************
22
libgen -mhs system.mhs -p xc2vp30ff896-7 -lp D:/XilinxXUP/lib/  system.mss
23
libgen
24
Xilinx EDK 7.1.2 Build EDK_H.12.5.1
25
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
26
 
27
Command Line: libgen -mhs system.mhs -p xc2vp30ff896-7 -lp D:/XilinxXUP/lib/
28
system.mss
29
 
30
Output Directory (-od)          : D:\mb-jpeg\
31
Part (-p)                       : virtex2p
32
 
33
Software Specification file     : system.mss
34
Sourcing tcl file
35
C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0.
36
tcl ...
37
Sourcing tcl file
38
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl
39
...
40
Sourcing tcl file
41
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if
42
_cntlr_v2_1_0.tcl ...
43
Sourcing tcl file
44
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0.
45
tcl ...
46
Sourcing tcl file
47
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_ddr_v2_00_b/data/opb_ddr_v2_1_0.tcl
48
...
49
 
50
Overriding IP level properties ...
51
microblaze (microblaze_0) -
52
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
53
mpd:60 - tool overriding c_family value virtex2 to virtex2p
54
microblaze (microblaze_0) -
55
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
56
mpd:61 - tool overriding c_instance value microblaze to microblaze_0
57
microblaze (microblaze_0) -
58
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
59
mpd:90 - tcl overriding C_ADDR_TAG_BITS value 17 to 0
60
microblaze (microblaze_0) -
61
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
62
mpd:97 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0
63
opb_mdm (debug_module) -
64
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd:38
65
- tool overriding c_family value virtex2 to virtex2p
66
bram_block (lmb_bram) -
67
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
68
mpd:39 - tool overriding c_family value virtex2 to virtex2p
69
opb_ddr (ddr_256mb_32mx64_rank1_row13_col10_cl2_5) -
70
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_ddr_v2_00_b\data\opb_ddr_v2_1_0.mpd:42
71
- tool overriding c_family value virtex2 to virtex2p
72
dcm_module (dcm_0) -
73
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
74
mpd:56 - tool overriding c_family value virtex2 to virtex2p
75
dcm_module (dcm_1) -
76
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
77
mpd:56 - tool overriding c_family value virtex2 to virtex2p
78
 
79
Performing IP level DRCs on properties...
80
 
81
Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC...
82
Address Map for Processor microblaze_0
83
  (0x00000000-0x00001fff) dlmb_cntlr    dlmb
84
  (0x00000000-0x00001fff) ilmb_cntlr    ilmb
85
  (0x30000000-0x3fffffff) DDR_256MB_32MX64_rank1_row13_col10_cl2_5      mb_opb
86
  (0x40600000-0x4060ffff) RS232_Uart_1  mb_opb
87
  (0x41400000-0x4140ffff) debug_module  mb_opb
88
  (0x41800000-0x4180ffff) SysACE_CompactFlash   mb_opb
89
 
90
Check platform configuration ...
91
opb_v20 (mb_opb) - D:\mb-jpeg\system.mhs:74 - 2 master(s) : 4 slave(s)
92
lmb_v10 (ilmb) - D:\mb-jpeg\system.mhs:100 - 1 master(s) : 1 slave(s)
93
lmb_v10 (dlmb) - D:\mb-jpeg\system.mhs:108 - 1 master(s) : 1 slave(s)
94
 
95
Check port drivers...
96
 
97
Check platform address map ...
98
 
99
Overriding system level properties ...
100
opb_v20 (mb_opb) -
101
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd:36
102
- tool overriding c_num_masters value 4 to 2
103
lmb_v10 (ilmb) -
104
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd:36
105
- tool overriding c_lmb_num_slaves value 4 to 1
106
lmb_v10 (dlmb) -
107
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd:36
108
- tool overriding c_lmb_num_slaves value 4 to 1
109
lmb_bram_if_cntlr (dlmb_cntlr) -
110
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
111
_cntlr_v2_1_0.mpd:42 - tool overriding c_mask value 0x00800000 to 0x10c00000
112
lmb_bram_if_cntlr (ilmb_cntlr) -
113
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
114
_cntlr_v2_1_0.mpd:42 - tool overriding c_mask value 0x00800000 to 0x10c00000
115
bram_block (lmb_bram) -
116
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
117
mpd:35 - tool overriding c_memsize value 2048 to 8192
118
 
119
Running DRC Tcl procedures for OPTION SYSLEVEL_DRC_PROC...
120
Sourcing tcl file
121
C:/EDK/hw/XilinxProcessorIPLib/pcores/ddr_v2_00_b/data/ddr_v2_1_0.tcl ...
122
 
123
Performing System level DRCs on properties...
124
WARNING:MDT - Peripheral sysclk_inv is not connected to any of the processors in
125
   the system. Check for the following reasons.
126
   1. sysclk_inv is not connected to any of the buses connected to a processor.
127
   2. sysclk_inv does not have adresses set correctly.
128
   3. sysclk_inv's address is not within any of the bridge windows connected to
129
   a processor.
130
WARNING:MDT - Peripheral clk90_inv is not connected to any of the processors in
131
   the system. Check for the following reasons.
132
   1. clk90_inv is not connected to any of the buses connected to a processor.
133
   2. clk90_inv does not have adresses set correctly.
134
   3. clk90_inv's address is not within any of the bridge windows connected to a
135
   processor.
136
WARNING:MDT - Peripheral ddr_clk90_inv is not connected to any of the processors
137
   in the system. Check for the following reasons.
138
   1. ddr_clk90_inv is not connected to any of the buses connected to a
139
   processor.
140
   2. ddr_clk90_inv does not have adresses set correctly.
141
   3. ddr_clk90_inv's address is not within any of the bridge windows connected
142
   to a processor.
143
WARNING:MDT - Peripheral dcm_0 is not connected to any of the processors in the
144
   system. Check for the following reasons.
145
   1. dcm_0 is not connected to any of the buses connected to a processor.
146
   2. dcm_0 does not have adresses set correctly.
147
   3. dcm_0's address is not within any of the bridge windows connected to a
148
   processor.
149
WARNING:MDT - Peripheral dcm_1 is not connected to any of the processors in the
150
   system. Check for the following reasons.
151
   1. dcm_1 is not connected to any of the buses connected to a processor.
152
   2. dcm_1 does not have adresses set correctly.
153
   3. dcm_1's address is not within any of the bridge windows connected to a
154
   processor.
155
INFO:MDT - List of peripherals addressable from processor instance microblaze_0
156
   :
157
  - dlmb_cntlr
158
  - ilmb_cntlr
159
  - debug_module
160
  - RS232_Uart_1
161
  - SysACE_CompactFlash
162
  - DDR_256MB_32MX64_rank1_row13_col10_cl2_5
163
 
164
Building Directory Structure for microblaze_0
165
 
166
Generating platform libraries and device drivers ...
167
 
168
Running CopyFiles ...
169
 
170
Copying files for os standalone_v1_00_a from
171
C:\EDK\sw\lib\bsp\standalone_v1_00_a\src\ to
172
D:\mb-jpeg\microblaze_0\libsrc\standalone_v1_00_a\ ...
173
 
174
Copying files for driver uartlite_v1_00_b from
175
C:\EDK\sw\XilinxProcessorIPLib\drivers\uartlite_v1_00_b\src\ to
176
D:\mb-jpeg\microblaze_0\libsrc\uartlite_v1_00_b\ ...
177
 
178
Copying files for driver sysace_v1_00_a from
179
C:\EDK\sw\XilinxProcessorIPLib\drivers\sysace_v1_00_a\src\ to
180
D:\mb-jpeg\microblaze_0\libsrc\sysace_v1_00_a\ ...
181
 
182
Copying files for driver cpu_v1_00_a from
183
C:\EDK\sw\XilinxProcessorIPLib\drivers\cpu_v1_00_a\src\ to
184
D:\mb-jpeg\microblaze_0\libsrc\cpu_v1_00_a\ ...
185
 
186
Running DRCs for OSes, Drivers and Libraries ...
187
 
188
Running generate for OS'es, Drivers and Libraries ...
189
Copying Library Files ...
190
 
191
Running post_generate for OS'es, Drivers and Libraries ...
192
 
193
Running make for Drivers and Libraries ...
194
 
195
Configuring make for target include using:
196
 
197
make -s include "COMPILER=mb-gcc" "ARCHIVER=mb-ar"
198
"COMPILER_FLAGS=-mno-xl-soft-mul  -O2 -c" "EXTRA_COMPILER_FLAGS=-g"
199
 
200
Configuring make for target libs using:
201
 
202
make -s libs "COMPILER=mb-gcc" "ARCHIVER=mb-ar"
203
"COMPILER_FLAGS=-mno-xl-soft-mul  -O2 -c" "EXTRA_COMPILER_FLAGS=-g"
204
Compiling commo
205
Compiling  microblaze_disable_dcache.
206
Compiling  microblaze_disable_exceptions.
207
Compiling  microblaze_disable_icache.
208
Compiling  microblaze_disable_interrupts.
209
Compiling  microblaze_enable_dcache.
210
Compiling  microblaze_enable_exceptions.
211
Compiling  microblaze_enable_icache.
212
Compiling  microblaze_enable_interrupts.
213
Compiling  microblaze_init_dcache_range.
214
Compiling  microblaze_init_icache_range.
215
Compiling  microblaze_update_dcache.
216
Compiling  microblaze_update_icache.
217
Compiling  inbyte.
218
Compiling  microblaze_exception_handler.
219
Compiling  microblaze_exceptions_g.
220
Compiling  microblaze_interrupt_handler.
221
Compiling  microblaze_interrupts_g.
222
Compiling  outbyte.
223
Compiling  hw_exception_handler.
224
Compiling uartlit
225
Compiling sysac
226
Compiling cp
227
 
228
Libraries generated in D:\mb-jpeg\microblaze_0\lib\ directory
229
 
230
Running execs_generate for OS'es, Drivers and Libraries ...
231
 
232
LibGen Done.
233
mb-gcc -O2 TestApp_Memory/src/TestApp_Memory.c  -o TestApp_Memory/executable.elf \
234
   -mno-xl-soft-mul     -Wl,-T -Wl,TestApp_Memory/src/TestApp_Memory_LinkScr  -g   -I./microblaze_0/include/  -L./microblaze_0/lib/  \
235
-xl-mode-executable  \
236
 
237
mb-size TestApp_Memory/executable.elf
238
   text    data     bss     dec     hex filename
239
   3768     324       8    4100    1004 TestApp_Memory/executable.elf
240
Done.
241
At Local date and time: Wed Nov 01 18:28:27 2006
242
Command xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" Started...
243
make: Nothing to be done for `program'.
244
Done.
245
At Local date and time: Wed Nov 01 18:28:36 2006
246
Command xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make bits; exit;" Started...
247
****************************************************
248
Creating system netlist for hardware specification..
249
****************************************************
250
platgen -p xc2vp30ff896-7 -lang vhdl -lp D:/XilinxXUP/lib/  -st xst system.mhs
251
 
252
Release Xilinx EDK 7.1.2 - platgen EDK_H.12.5.1
253
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
254
 
255
Command Line: platgen -p xc2vp30ff896-7 -lang vhdl -lp D:/XilinxXUP/lib/ -st xst
256
system.mhs
257
Parse system.mhs ...
258
 
259
Read MPD definitions ...
260
Sourcing tcl file
261
C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0.
262
tcl ...
263
Sourcing tcl file
264
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl
265
...
266
Sourcing tcl file
267
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if
268
_cntlr_v2_1_0.tcl ...
269
Sourcing tcl file
270
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0.
271
tcl ...
272
Sourcing tcl file
273
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_ddr_v2_00_b/data/opb_ddr_v2_1_0.tcl
274
...
275
 
276
Overriding IP level properties ...
277
microblaze (microblaze_0) -
278
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
279
mpd:60 - tool overriding c_family value virtex2 to virtex2p
280
microblaze (microblaze_0) -
281
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
282
mpd:61 - tool overriding c_instance value microblaze to microblaze_0
283
microblaze (microblaze_0) -
284
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
285
mpd:90 - tcl overriding C_ADDR_TAG_BITS value 17 to 0
286
microblaze (microblaze_0) -
287
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
288
mpd:97 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0
289
opb_mdm (debug_module) -
290
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd:38
291
- tool overriding c_family value virtex2 to virtex2p
292
bram_block (lmb_bram) -
293
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
294
mpd:39 - tool overriding c_family value virtex2 to virtex2p
295
opb_ddr (ddr_256mb_32mx64_rank1_row13_col10_cl2_5) -
296
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_ddr_v2_00_b\data\opb_ddr_v2_1_0.mpd:42
297
- tool overriding c_family value virtex2 to virtex2p
298
dcm_module (dcm_0) -
299
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
300
mpd:56 - tool overriding c_family value virtex2 to virtex2p
301
dcm_module (dcm_1) -
302
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
303
mpd:56 - tool overriding c_family value virtex2 to virtex2p
304
 
305
Performing IP level DRCs on properties...
306
 
307
Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC...
308
Address Map for Processor microblaze_0
309
  (0x00000000-0x00001fff) dlmb_cntlr    dlmb
310
  (0x00000000-0x00001fff) ilmb_cntlr    ilmb
311
  (0x30000000-0x3fffffff) DDR_256MB_32MX64_rank1_row13_col10_cl2_5      mb_opb
312
  (0x40600000-0x4060ffff) RS232_Uart_1  mb_opb
313
  (0x41400000-0x4140ffff) debug_module  mb_opb
314
  (0x41800000-0x4180ffff) SysACE_CompactFlash   mb_opb
315
 
316
Check platform configuration ...
317
opb_v20 (mb_opb) - D:\mb-jpeg\system.mhs:74 - 2 master(s) : 4 slave(s)
318
lmb_v10 (ilmb) - D:\mb-jpeg\system.mhs:100 - 1 master(s) : 1 slave(s)
319
lmb_v10 (dlmb) - D:\mb-jpeg\system.mhs:108 - 1 master(s) : 1 slave(s)
320
 
321
Check port drivers...
322
 
323
Check platform address map ...
324
 
325
Overriding system level properties ...
326
opb_v20 (mb_opb) -
327
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd:36
328
- tool overriding c_num_masters value 4 to 2
329
lmb_v10 (ilmb) -
330
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd:36
331
- tool overriding c_lmb_num_slaves value 4 to 1
332
lmb_v10 (dlmb) -
333
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd:36
334
- tool overriding c_lmb_num_slaves value 4 to 1
335
lmb_bram_if_cntlr (dlmb_cntlr) -
336
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
337
_cntlr_v2_1_0.mpd:42 - tool overriding c_mask value 0x00800000 to 0x10c00000
338
lmb_bram_if_cntlr (ilmb_cntlr) -
339
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
340
_cntlr_v2_1_0.mpd:42 - tool overriding c_mask value 0x00800000 to 0x10c00000
341
bram_block (lmb_bram) -
342
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
343
mpd:35 - tool overriding c_memsize value 2048 to 8192
344
 
345
Running DRC Tcl procedures for OPTION SYSLEVEL_DRC_PROC...
346
Sourcing tcl file
347
C:/EDK/hw/XilinxProcessorIPLib/pcores/ddr_v2_00_b/data/ddr_v2_1_0.tcl ...
348
 
349
Performing System level DRCs on properties...
350
 
351
Running UPDATE Tcl procedures for OPTION PLATGEN_SYSLEVEL_UPDATE_PROC...
352
Sourcing tcl file
353
C:/EDK/hw/XilinxProcessorIPLib/pcores/ddr_v2_00_b/data/ddr_v2_1_0.tcl ...
354
INFO: The DDR_256MB_32MX64_rank1_row13_col10_cl2_5 core has constraints automatically generated by XPS in implementation/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper.ucf.
355
It can be overridden by constraints placed in the system.ucf file.
356
 
357
 
358
Modify defaults ...
359
 
360
Processing licensed instances ...
361
Completion time: 0.00 seconds
362
 
363
Creating hardware output directories ...
364
 
365
Managing hardware (BBD-specified) netlist files ...
366
 
367
Managing cache ...
368
 
369
Elaborating instances ...
370
bram_block (lmb_bram) - D:\mb-jpeg\system.mhs:134 - elaborating IP
371
 
372
Writing HDL for elaborated instances ...
373
 
374
Inserting wrapper level ...
375
Completion time: 4.00 seconds
376
 
377
Constructing platform-level signal connectivity ...
378
Completion time: 3.00 seconds
379
 
380
Writing (top-level) BMM ...
381
Writing BMM - D:\mb-jpeg\implementation\system.bmm
382
 
383
Writing (top-level and wrappers) HDL ...
384
 
385
Generating synthesis project file ...
386
 
387
Running XST synthesis ...
388
INFO:MDT - The following instances are synthesized with XST. The MPD option
389
   IMP_NETLIST=TRUE indicates that a NGC file is to be produced using XST
390
   synthesis. IMP_NETLIST=FALSE (default) instances are not synthesized.
391
microblaze_0_wrapper (microblaze_0) - D:\mb-jpeg\system.mhs:54 - Running XST
392
synthesis
393
mb_opb_wrapper (mb_opb) - D:\mb-jpeg\system.mhs:74 - Running XST synthesis
394
debug_module_wrapper (debug_module) - D:\mb-jpeg\system.mhs:82 - Running XST
395
synthesis
396
ilmb_wrapper (ilmb) - D:\mb-jpeg\system.mhs:100 - Running XST synthesis
397
dlmb_wrapper (dlmb) - D:\mb-jpeg\system.mhs:108 - Running XST synthesis
398
dlmb_cntlr_wrapper (dlmb_cntlr) - D:\mb-jpeg\system.mhs:116 - Running XST
399
synthesis
400
ilmb_cntlr_wrapper (ilmb_cntlr) - D:\mb-jpeg\system.mhs:125 - Running XST
401
synthesis
402
lmb_bram_wrapper (lmb_bram) - D:\mb-jpeg\system.mhs:134 - Running XST synthesis
403
rs232_uart_1_wrapper (rs232_uart_1) - D:\mb-jpeg\system.mhs:141 - Running XST
404
synthesis
405
sysace_compactflash_wrapper (sysace_compactflash) - D:\mb-jpeg\system.mhs:157 -
406
Running XST synthesis
407
ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper
408
(ddr_256mb_32mx64_rank1_row13_col10_cl2_5) - D:\mb-jpeg\system.mhs:174 - Running
409
XST synthesis
410
sysclk_inv_wrapper (sysclk_inv) - D:\mb-jpeg\system.mhs:218 - Running XST
411
synthesis
412
clk90_inv_wrapper (clk90_inv) - D:\mb-jpeg\system.mhs:227 - Running XST
413
synthesis
414
ddr_clk90_inv_wrapper (ddr_clk90_inv) - D:\mb-jpeg\system.mhs:236 - Running XST
415
synthesis
416
dcm_0_wrapper (dcm_0) - D:\mb-jpeg\system.mhs:245 - Running XST synthesis
417
dcm_1_wrapper (dcm_1) - D:\mb-jpeg\system.mhs:261 - Running XST synthesis
418
 
419
Running NGCBUILD ...
420
ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper
421
(ddr_256mb_32mx64_rank1_row13_col10_cl2_5) - D:\mb-jpeg\system.mhs:174 - Running
422
NGCBUILD
423
 
424
Rebuilding cache ...
425
Total run time: 282.00 seconds
426
Running synthesis...
427
bash -c "cd synthesis; ./synthesis.sh; cd .."
428
WARNING:Xst:1530 - You are using an evaluation version of Xilinx Software. In 17
429
   days, this program will not operate. For more information about this product,
430
   please refer to the Evaluation Agreement, which was shipped to you along with
431
   the Evaluation CDs.
432
   To purchase an annual license for this software, please contact your local
433
   Field Applications Engineer (FAE) or salesperson. If you have any questions,
434
   or if we can assist in any way, please send an email to: eval@xilinx.com
435
   Thank You!
436
Release 7.1.02i - xst H.42
437
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
438
-->
439
TABLE OF CONTENTS
440
  1) Synthesis Options Summary
441
  2) HDL Compilation
442
  3) HDL Analysis
443
  4) HDL Synthesis
444
  5) Advanced HDL Synthesis
445
     5.1) HDL Synthesis Report
446
  6) Low Level Synthesis
447
  7) Final Report
448
     7.1) Device utilization summary
449
     7.2) TIMING REPORT
450
 
451
 
452
=========================================================================
453
*                      Synthesis Options Summary                        *
454
=========================================================================
455
---- Source Parameters
456
Input Format                       : MIXED
457
Input File Name                    : "system_xst.prj"
458
 
459
---- Target Parameters
460
Target Device                      : xc2vp30ff896-7
461
Output File Name                   : "../implementation/system.ngc"
462
 
463
---- Source Options
464
Top Module Name                    : system
465
 
466
---- Target Options
467
Add IO Buffers                     : NO
468
 
469
---- General Options
470
Optimization Goal                  : speed
471
RTL Output                         : YES
472
Hierarchy Separator                : /
473
 
474
=========================================================================
475
 
476
WARNING:Xst:29 - Optimization Effort not specified
477
The following parameters have been added:
478
Optimization Effort                : 1
479
 
480
=========================================================================
481
 
482
=========================================================================
483
*                          HDL Compilation                              *
484
=========================================================================
485
Compiling vhdl file "d:/mb-jpeg/synthesis/../hdl/system.vhd" in Library work.
486
Entity  compiled.
487
Entity  (Architecture ) compiled.
488
 
489
=========================================================================
490
*                            HDL Analysis                               *
491
=========================================================================
492
Analyzing Entity  (Architecture ).
493
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1452: Generating a Black Box for component .
494
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1458: Generating a Black Box for component .
495
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1464: Generating a Black Box for component .
496
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1470: Generating a Black Box for component .
497
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1476: Generating a Black Box for component .
498
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1482: Generating a Black Box for component .
499
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1488: Generating a Black Box for component .
500
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1494: Generating a Black Box for component .
501
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1500: Generating a Black Box for component .
502
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1506: Generating a Black Box for component .
503
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1512: Generating a Black Box for component .
504
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1520: Generating a Black Box for component .
505
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1528: Generating a Black Box for component .
506
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1536: Generating a Black Box for component .
507
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1544: Generating a Black Box for component .
508
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1552: Generating a Black Box for component .
509
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1560: Generating a Black Box for component .
510
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1568: Generating a Black Box for component .
511
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1576: Generating a Black Box for component .
512
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1584: Generating a Black Box for component .
513
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1592: Generating a Black Box for component .
514
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1600: Generating a Black Box for component .
515
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1608: Generating a Black Box for component .
516
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1616: Generating a Black Box for component .
517
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1624: Generating a Black Box for component .
518
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1632: Generating a Black Box for component .
519
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1640: Generating a Black Box for component .
520
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1646: Generating a Black Box for component .
521
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1652: Generating a Black Box for component .
522
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1658: Generating a Black Box for component .
523
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1664: Generating a Black Box for component .
524
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1670: Generating a Black Box for component .
525
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1676: Generating a Black Box for component .
526
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1682: Generating a Black Box for component .
527
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1688: Generating a Black Box for component .
528
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1694: Generating a Black Box for component .
529
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1700: Generating a Black Box for component .
530
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1706: Generating a Black Box for component .
531
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1712: Generating a Black Box for component .
532
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1718: Generating a Black Box for component .
533
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1724: Generating a Black Box for component .
534
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1730: Generating a Black Box for component .
535
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1736: Generating a Black Box for component .
536
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1742: Generating a Black Box for component .
537
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1748: Generating a Black Box for component .
538
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1754: Generating a Black Box for component .
539
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1760: Generating a Black Box for component .
540
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1766: Generating a Black Box for component .
541
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1772: Generating a Black Box for component .
542
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1778: Generating a Black Box for component .
543
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1784: Generating a Black Box for component .
544
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1790: Generating a Black Box for component .
545
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1796: Generating a Black Box for component .
546
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1802: Generating a Black Box for component .
547
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1808: Generating a Black Box for component .
548
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1814: Generating a Black Box for component .
549
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1820: Generating a Black Box for component .
550
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1826: Generating a Black Box for component .
551
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1832: Generating a Black Box for component .
552
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1838: Generating a Black Box for component .
553
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1844: Generating a Black Box for component .
554
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1850: Generating a Black Box for component .
555
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1856: Generating a Black Box for component .
556
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1864: Generating a Black Box for component .
557
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1872: Generating a Black Box for component .
558
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1880: Generating a Black Box for component .
559
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1888: Generating a Black Box for component .
560
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1896: Generating a Black Box for component .
561
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1904: Generating a Black Box for component .
562
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1912: Generating a Black Box for component .
563
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1920: Generating a Black Box for component .
564
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1928: Generating a Black Box for component .
565
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1936: Generating a Black Box for component .
566
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1944: Generating a Black Box for component .
567
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1952: Generating a Black Box for component .
568
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1960: Generating a Black Box for component .
569
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1968: Generating a Black Box for component .
570
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1976: Generating a Black Box for component .
571
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1984: Generating a Black Box for component .
572
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1992: Generating a Black Box for component .
573
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2000: Generating a Black Box for component .
574
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2008: Generating a Black Box for component .
575
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2016: Generating a Black Box for component .
576
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2024: Generating a Black Box for component .
577
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2032: Generating a Black Box for component .
578
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2040: Generating a Black Box for component .
579
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2048: Generating a Black Box for component .
580
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2056: Generating a Black Box for component .
581
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2064: Generating a Black Box for component .
582
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2072: Generating a Black Box for component .
583
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2080: Generating a Black Box for component .
584
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2088: Generating a Black Box for component .
585
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2096: Generating a Black Box for component .
586
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2104: Generating a Black Box for component .
587
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2112: Generating a Black Box for component .
588
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2120: Generating a Black Box for component .
589
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2128: Generating a Black Box for component .
590
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2136: Generating a Black Box for component .
591
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2144: Generating a Black Box for component .
592
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2152: Generating a Black Box for component .
593
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2160: Generating a Black Box for component .
594
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2168: Generating a Black Box for component .
595
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2176: Generating a Black Box for component .
596
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2184: Generating a Black Box for component .
597
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2192: Generating a Black Box for component .
598
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2200: Generating a Black Box for component .
599
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2208: Generating a Black Box for component .
600
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2216: Generating a Black Box for component .
601
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2224: Generating a Black Box for component .
602
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2232: Generating a Black Box for component .
603
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2240: Generating a Black Box for component .
604
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2248: Generating a Black Box for component .
605
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2256: Generating a Black Box for component .
606
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2264: Generating a Black Box for component .
607
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2272: Generating a Black Box for component .
608
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2280: Generating a Black Box for component .
609
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2288: Generating a Black Box for component .
610
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2296: Generating a Black Box for component .
611
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2304: Generating a Black Box for component .
612
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2312: Generating a Black Box for component .
613
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2320: Generating a Black Box for component .
614
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2328: Generating a Black Box for component .
615
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2336: Generating a Black Box for component .
616
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2344: Generating a Black Box for component .
617
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2352: Generating a Black Box for component .
618
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2360: Generating a Black Box for component .
619
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2368: Generating a Black Box for component .
620
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2376: Generating a Black Box for component .
621
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2384: Generating a Black Box for component .
622
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2392: Generating a Black Box for component .
623
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2400: Generating a Black Box for component .
624
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2408: Generating a Black Box for component .
625
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2416: Generating a Black Box for component .
626
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2424: Generating a Black Box for component .
627
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2432: Generating a Black Box for component .
628
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2438: Generating a Black Box for component .
629
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2444: Generating a Black Box for component .
630
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2450: Generating a Black Box for component .
631
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2456: Generating a Black Box for component .
632
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2462: Generating a Black Box for component .
633
Entity  analyzed. Unit  generated.
634
 
635
 
636
=========================================================================
637
*                           HDL Synthesis                               *
638
=========================================================================
639
 
640
Synthesizing Unit .
641
    Related source file is "d:/mb-jpeg/synthesis/../hdl/system.vhd".
642
WARNING:Xst:646 - Signal > is assigned but never used.
643
WARNING:Xst:646 - Signal > is assigned but never used.
644
Unit  synthesized.
645
 
646
 
647
=========================================================================
648
*                       Advanced HDL Synthesis                          *
649
=========================================================================
650
 
651
Advanced RAM inference ...
652
Advanced multiplier inference ...
653
Advanced Registered AddSub inference ...
654
Dynamic shift register inference ...
655
 
656
=========================================================================
657
HDL Synthesis Report
658
 
659
Found no macro
660
=========================================================================
661
 
662
=========================================================================
663
*                         Low Level Synthesis                           *
664
=========================================================================
665
Loading device for application Rf_Device from file '2vp30.nph' in environment c:/Xilinx.
666
 
667
Optimizing unit  ...
668
 
669
Mapping all equations...
670
Building and optimizing final netlist ...
671
 
672
=========================================================================
673
*                            Final Report                               *
674
=========================================================================
675
Final Results
676
RTL Top Level Output File Name     : ../implementation/system.ngr
677
Top Level Output File Name         : ../implementation/system.ngc
678
Output Format                      : ngc
679
Optimization Goal                  : speed
680
Keep Hierarchy                     : no
681
 
682
Design Statistics
683
# IOs                              : 140
684
 
685
Cell Usage :
686
# BELS                             : 2
687
#      GND                         : 1
688
#      VCC                         : 1
689
# IO Buffers                       : 140
690
#      IBUF                        : 5
691
#      IBUFG                       : 1
692
#      IOBUF                       : 88
693
#      OBUF                        : 46
694
# Others                           : 16
695
#      clk90_inv_wrapper           : 1
696
#      dcm_0_wrapper               : 1
697
#      dcm_1_wrapper               : 1
698
#      ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper: 1
699
#      ddr_clk90_inv_wrapper       : 1
700
#      debug_module_wrapper        : 1
701
#      dlmb_cntlr_wrapper          : 1
702
#      dlmb_wrapper                : 1
703
#      ilmb_cntlr_wrapper          : 1
704
#      ilmb_wrapper                : 1
705
#      lmb_bram_wrapper            : 1
706
#      mb_opb_wrapper              : 1
707
#      microblaze_0_wrapper        : 1
708
#      rs232_uart_1_wrapper        : 1
709
#      sysace_compactflash_wrapper : 1
710
#      sysclk_inv_wrapper          : 1
711
=========================================================================
712
 
713
Device utilization summary:
714
---------------------------
715
 
716
Selected Device : 2vp30ff896-7
717
 
718
 Number of bonded IOBs:                140  out of    556    25%
719
 
720
 
721
=========================================================================
722
TIMING REPORT
723
 
724
NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE.
725
      FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT
726
      GENERATED AFTER PLACE-and-ROUTE.
727
 
728
Clock Information:
729
------------------
730
No clock signals found in this design
731
 
732
Timing Summary:
733
---------------
734
Speed Grade: -7
735
 
736
   Minimum period: No path found
737
   Minimum input arrival time before clock: No path found
738
   Maximum output required time after clock: No path found
739
   Maximum combinational path delay: 2.924ns
740
 
741
Timing Detail:
742
--------------
743
All values displayed in nanoseconds (ns)
744
 
745
=========================================================================
746
Timing constraint: Default path analysis
747
  Total number of paths / destination ports: 1594 / 1506
748
-------------------------------------------------------------------------
749
Delay:               2.924ns (Levels of Logic = 1)
750
  Source:            ddr_256mb_32mx64_rank1_row13_col10_cl2_5:DDR_DQS_O<7> (PAD)
751
  Destination:       fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQS_pin<7> (PAD)
752
 
753
  Data Path: ddr_256mb_32mx64_rank1_row13_col10_cl2_5:DDR_DQS_O<7> to fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQS_pin<7>
754
                                Gate     Net
755
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
756
    ----------------------------------------  ------------
757
    ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper:DDR_DQS_O<7>    1   0.000   0.332  ddr_256mb_32mx64_rank1_row13_col10_cl2_5 (fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQS_O<7>)
758
     IOBUF:I->IO               2.592          iobuf_69 (fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQS_pin<7>)
759
    ----------------------------------------
760
    Total                      2.924ns (2.592ns logic, 0.332ns route)
761
                                       (88.7% logic, 11.3% route)
762
 
763
=========================================================================
764
CPU : 10.42 / 10.64 s | Elapsed : 10.00 / 10.00 s
765
 
766
-->
767
 
768
Total memory usage is 161848 kilobytes
769
 
770
Number of errors   :    0 (   0 filtered)
771
Number of warnings :  144 (   0 filtered)
772
Number of infos    :    0 (   0 filtered)
773
Copying Xilinx Implementation tool scripts..
774
*********************************************
775
Running Xilinx Implementation tools..
776
*********************************************
777
xflow -wd implementation -p xc2vp30ff896-7 -implement fast_runtime.opt system.ngc
778
Release 7.1.02i - Xflow H.38
779
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
780
xflow.exe -wd implementation -p xc2vp30ff896-7 -implement fast_runtime.opt
781
system.ngc
782
.... Copying flowfile c:/Xilinx/xilinx/data/fpga.flw into working directory
783
D:/mb-jpeg/implementation
784
 
785
Using Flow File: D:/mb-jpeg/implementation/fpga.flw
786
Using Option File(s):
787
 D:/mb-jpeg/implementation/fast_runtime.opt
788
 
789
Creating Script File ...
790
 
791
#----------------------------------------------#
792
# Starting program ngdbuild
793
# ngdbuild -p xc2vp30ff896-7 -nt timestamp -bm system.bmm
794
D:/mb-jpeg/implementation/system.ngc -uc system.ucf system.ngd
795
#----------------------------------------------#
796
Release 7.1.02i - ngdbuild H.42
797
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
798
 
799
Command Line: ngdbuild -p xc2vp30ff896-7 -nt timestamp -bm system.bmm -uc
800
system.ucf D:/mb-jpeg/implementation/system.ngc system.ngd
801
 
802
Reading NGO file 'D:/mb-jpeg/implementation/system.ngc' ...
803
Loading design module "D:/mb-jpeg/implementation/microblaze_0_wrapper.ngc"...
804
Loading design module "D:/mb-jpeg/implementation/mb_opb_wrapper.ngc"...
805
Loading design module "D:/mb-jpeg/implementation/debug_module_wrapper.ngc"...
806
Loading design module "D:/mb-jpeg/implementation/ilmb_wrapper.ngc"...
807
Loading design module "D:/mb-jpeg/implementation/dlmb_wrapper.ngc"...
808
Loading design module "D:/mb-jpeg/implementation/dlmb_cntlr_wrapper.ngc"...
809
Loading design module "D:/mb-jpeg/implementation/ilmb_cntlr_wrapper.ngc"...
810
Loading design module "D:/mb-jpeg/implementation/lmb_bram_wrapper.ngc"...
811
Loading design module "D:/mb-jpeg/implementation/rs232_uart_1_wrapper.ngc"...
812
Loading design module
813
"D:/mb-jpeg/implementation/sysace_compactflash_wrapper.ngc"...
814
Loading design module
815
"D:/mb-jpeg/implementation/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper.ngc"
816
...
817
Loading design module "D:/mb-jpeg/implementation/sysclk_inv_wrapper.ngc"...
818
Loading design module "D:/mb-jpeg/implementation/clk90_inv_wrapper.ngc"...
819
Loading design module "D:/mb-jpeg/implementation/ddr_clk90_inv_wrapper.ngc"...
820
Loading design module "D:/mb-jpeg/implementation/dcm_0_wrapper.ngc"...
821
Loading design module "D:/mb-jpeg/implementation/dcm_1_wrapper.ngc"...
822
 
823
Applying constraints in "system.ucf" to the design...
824
 
825
Checking timing specifications ...
826
INFO:XdmHelpers:851 - TNM "sys_clk_pin", used in period specification
827
   "TS_sys_clk_pin", was traced into DCM instance "dcm_0/dcm_0/DCM_INST". The
828
   following new TNM groups and period specifications were generated at the DCM
829
   output(s):
830
   CLK0: TS_dcm_0_dcm_0_CLK0_BUF=PERIOD dcm_0_dcm_0_CLK0_BUF
831
TS_sys_clk_pin*1.000000 HIGH 50.000000%
832
   CLK90: TS_dcm_0_dcm_0_CLK90_BUF=PERIOD dcm_0_dcm_0_CLK90_BUF
833
TS_sys_clk_pin*1.000000 PHASE + 2.500000 nS HIGH 50.000000%
834
 
835
Processing BMM file ...
836
 
837
Checking expanded design ...
838
WARNING:NgdBuild:443 - SFF primitive
839
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
840
   cl2_5/DDR_CTRL_I/MW_RD_WR_I/ADDR_SEL_SYNC_FIFO_I/I_VAC_CALC/Counter_Bit_I0/FD
841
   RE_I' has unconnected output pin
842
WARNING:NgdBuild:443 - SFF primitive
843
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
844
   cl2_5/DDR_CTRL_I/MW_RD_WR_I/ADDR_SEL_SYNC_FIFO_I/I_VAC_CALC/Counter_Bit_I4/FD
845
   RE_I' has unconnected output pin
846
WARNING:NgdBuild:443 - SFF primitive
847
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
848
   cl2_5/DDR_CTRL_I/MW_RD_WR_I/ADDR_SEL_SYNC_FIFO_I/I_VAC_CALC/Counter_Bit_I3/FD
849
   RE_I' has unconnected output pin
850
WARNING:NgdBuild:443 - SFF primitive
851
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
852
   cl2_5/DDR_CTRL_I/MW_RD_WR_I/ADDR_SEL_SYNC_FIFO_I/I_VAC_CALC/Counter_Bit_I2/FD
853
   RE_I' has unconnected output pin
854
WARNING:NgdBuild:443 - SFF primitive
855
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
856
   cl2_5/DDR_CTRL_I/MW_RD_WR_I/ADDR_SEL_SYNC_FIFO_I/I_VAC_CALC/Counter_Bit_I1/FD
857
   RE_I' has unconnected output pin
858
WARNING:NgdBuild:443 - SFF primitive
859
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
860
   cl2_5/DDR_CTRL_I/MW_RD_WR_I/ADDR_SEL_SYNC_FIFO_I/I_ADDR_CNTR/I_UP_DWN_COUNTER
861
   /I_CARRY_OUT' has unconnected output pin
862
WARNING:NgdBuild:440 - FF primitive
863
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
864
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
865
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
866
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM0REG_SP/REG' has unconnected
867
   output pin
868
WARNING:NgdBuild:440 - FF primitive
869
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
870
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
871
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
872
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM1REG_SP/REG' has unconnected
873
   output pin
874
WARNING:NgdBuild:440 - FF primitive
875
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
876
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
877
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
878
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM2REG_SP/REG' has unconnected
879
   output pin
880
WARNING:NgdBuild:440 - FF primitive
881
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
882
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
883
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
884
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM3REG_SP/REG' has unconnected
885
   output pin
886
WARNING:NgdBuild:440 - FF primitive
887
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
888
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
889
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
890
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM4REG_SP/REG' has unconnected
891
   output pin
892
WARNING:NgdBuild:440 - FF primitive
893
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
894
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
895
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_
896
5_wrapper_async_fi
897
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM5REG_SP/REG' has unconnected
898
   output pin
899
WARNING:NgdBuild:440 - FF primitive
900
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
901
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
902
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
903
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM6REG_SP/REG' has unconnected
904
   output pin
905
WARNING:NgdBuild:440 - FF primitive
906
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
907
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
908
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
909
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM7REG_SP/REG' has unconnected
910
   output pin
911
WARNING:NgdBuild:440 - FF primitive
912
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
913
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
914
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
915
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM8REG_SP/REG' has unconnected
916
   output pin
917
WARNING:NgdBuild:440 - FF primitive
918
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
919
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
920
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
921
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM9REG_SP/REG' has unconnected
922
   output pin
923
WARNING:NgdBuild:440 - FF primitive
924
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
925
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
926
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
927
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM10REG_SP/REG' has unconnected
928
   output pin
929
WARNING:NgdBuild:440 - FF primitive
930
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
931
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
932
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
933
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM11REG_SP/REG' has unconnected
934
   output pin
935
WARNING:NgdBuild:440 - FF primitive
936
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
937
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
938
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
939
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM12REG_SP/REG' has unconnected
940
   output pin
941
WARNING:NgdBuild:440 - FF primitive
942
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
943
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
944
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
945
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM13REG_SP/REG' has unconnected
946
   output pin
947
WARNING:NgdBuild:440 - FF primitive
948
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
949
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
950
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
951
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM14REG_SP/REG' has unconnected
952
   output pin
953
WARNING:NgdBuild:440 - FF primitive
954
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
955
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
956
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
957
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM15REG_SP/REG' has unconnected
958
   output pin
959
WARNING:NgdBuild:440 - FF primitive
960
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
961
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
962
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
963
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM16REG_SP/REG' has unconnected
964
   output p
965
in
966
WARNING:NgdBuild:440 - FF primitive
967
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
968
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
969
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
970
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM17REG_SP/REG' has unconnected
971
   output pin
972
WARNING:NgdBuild:440 - FF primitive
973
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
974
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
975
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
976
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM18REG_SP/REG' has unconnected
977
   output pin
978
WARNING:NgdBuild:440 - FF primitive
979
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
980
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
981
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
982
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM19REG_SP/REG' has unconnected
983
   output pin
984
WARNING:NgdBuild:440 - FF primitive
985
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
986
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
987
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
988
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM20REG_SP/REG' has unconnected
989
   output pin
990
WARNING:NgdBuild:440 - FF primitive
991
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
992
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
993
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
994
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM21REG_SP/REG' has unconnected
995
   output pin
996
WARNING:NgdBuild:440 - FF primitive
997
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
998
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
999
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1000
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM22REG_SP/REG' has unconnected
1001
   output pin
1002
WARNING:NgdBuild:440 - FF primitive
1003
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1004
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1005
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1006
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM23REG_SP/REG' has unconnected
1007
   output pin
1008
WARNING:NgdBuild:440 - FF primitive
1009
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1010
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1011
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1012
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM24REG_SP/REG' has unconnected
1013
   output pin
1014
WARNING:NgdBuild:440 - FF primitive
1015
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1016
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1017
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1018
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM25REG_SP/REG' has unconnected
1019
   output pin
1020
WARNING:NgdBuild:440 - FF primitive
1021
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1022
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1023
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1024
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM26REG_SP/REG' has unconnected
1025
   output pin
1026
WARNING:NgdBuild:440 - FF primitive
1027
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1028
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1029
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1030
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM27REG_SP/REG' has unconnected
1031
   outp
1032
ut pin
1033
WARNING:NgdBuild:440 - FF primitive
1034
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1035
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1036
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1037
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM28REG_SP/REG' has unconnected
1038
   output pin
1039
WARNING:NgdBuild:440 - FF primitive
1040
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1041
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1042
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1043
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM29REG_SP/REG' has unconnected
1044
   output pin
1045
WARNING:NgdBuild:440 - FF primitive
1046
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1047
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1048
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1049
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM30REG_SP/REG' has unconnected
1050
   output pin
1051
WARNING:NgdBuild:440 - FF primitive
1052
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1053
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1054
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1055
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM31REG_SP/REG' has unconnected
1056
   output pin
1057
WARNING:NgdBuild:440 - FF primitive
1058
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1059
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1060
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1061
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM32REG_SP/REG' has unconnected
1062
   output pin
1063
WARNING:NgdBuild:440 - FF primitive
1064
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1065
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1066
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1067
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM33REG_SP/REG' has unconnected
1068
   output pin
1069
WARNING:NgdBuild:440 - FF primitive
1070
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1071
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1072
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1073
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM34REG_SP/REG' has unconnected
1074
   output pin
1075
WARNING:NgdBuild:440 - FF primitive
1076
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1077
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1078
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1079
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM35REG_SP/REG' has unconnected
1080
   output pin
1081
WARNING:NgdBuild:440 - FF primitive
1082
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1083
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1084
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1085
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM36REG_SP/REG' has unconnected
1086
   output pin
1087
WARNING:NgdBuild:440 - FF primitive
1088
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1089
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1090
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1091
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM37REG_SP/REG' has unconnected
1092
   output pin
1093
WARNING:NgdBuild:440 - FF primitive
1094
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1095
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1096
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1097
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM38REG_SP/REG' has unconnected
1098
   outp
1099
ut pin
1100
WARNING:NgdBuild:440 - FF primitive
1101
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1102
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1103
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1104
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM39REG_SP/REG' has unconnected
1105
   output pin
1106
WARNING:NgdBuild:440 - FF primitive
1107
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1108
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1109
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1110
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM40REG_SP/REG' has unconnected
1111
   output pin
1112
WARNING:NgdBuild:440 - FF primitive
1113
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1114
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1115
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1116
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM41REG_SP/REG' has unconnected
1117
   output pin
1118
WARNING:NgdBuild:440 - FF primitive
1119
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1120
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1121
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1122
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM42REG_SP/REG' has unconnected
1123
   output pin
1124
WARNING:NgdBuild:440 - FF primitive
1125
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1126
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1127
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1128
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM43REG_SP/REG' has unconnected
1129
   output pin
1130
WARNING:NgdBuild:440 - FF primitive
1131
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1132
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1133
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1134
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM44REG_SP/REG' has unconnected
1135
   output pin
1136
WARNING:NgdBuild:440 - FF primitive
1137
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1138
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1139
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1140
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM45REG_SP/REG' has unconnected
1141
   output pin
1142
WARNING:NgdBuild:440 - FF primitive
1143
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1144
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1145
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1146
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM46REG_SP/REG' has unconnected
1147
   output pin
1148
WARNING:NgdBuild:440 - FF primitive
1149
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1150
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1151
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1152
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM47REG_SP/REG' has unconnected
1153
   output pin
1154
WARNING:NgdBuild:440 - FF primitive
1155
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1156
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1157
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1158
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM48REG_SP/REG' has unconnected
1159
   output pin
1160
WARNING:NgdBuild:440 - FF primitive
1161
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1162
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1163
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1164
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM49REG_SP/REG' has unconnected
1165
   outp
1166
ut pin
1167
WARNING:NgdBuild:440 - FF primitive
1168
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1169
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1170
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1171
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM50REG_SP/REG' has unconnected
1172
   output pin
1173
WARNING:NgdBuild:440 - FF primitive
1174
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1175
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1176
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1177
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM51REG_SP/REG' has unconnected
1178
   output pin
1179
WARNING:NgdBuild:440 - FF primitive
1180
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1181
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1182
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1183
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM52REG_SP/REG' has unconnected
1184
   output pin
1185
WARNING:NgdBuild:440 - FF primitive
1186
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1187
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1188
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1189
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM53REG_SP/REG' has unconnected
1190
   output pin
1191
WARNING:NgdBuild:440 - FF primitive
1192
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1193
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1194
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1195
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM54REG_SP/REG' has unconnected
1196
   output pin
1197
WARNING:NgdBuild:440 - FF primitive
1198
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1199
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1200
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1201
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM55REG_SP/REG' has unconnected
1202
   output pin
1203
WARNING:NgdBuild:440 - FF primitive
1204
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1205
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1206
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1207
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM56REG_SP/REG' has unconnected
1208
   output pin
1209
WARNING:NgdBuild:440 - FF primitive
1210
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1211
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1212
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1213
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM57REG_SP/REG' has unconnected
1214
   output pin
1215
WARNING:NgdBuild:440 - FF primitive
1216
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1217
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1218
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1219
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM58REG_SP/REG' has unconnected
1220
   output pin
1221
WARNING:NgdBuild:440 - FF primitive
1222
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1223
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1224
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1225
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM59REG_SP/REG' has unconnected
1226
   output pin
1227
WARNING:NgdBuild:440 - FF primitive
1228
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1229
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1230
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1231
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM60REG_SP/REG' has unconnected
1232
   outp
1233
ut pin
1234
WARNING:NgdBuild:440 - FF primitive
1235
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1236
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1237
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1238
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM61REG_SP/REG' has unconnected
1239
   output pin
1240
WARNING:NgdBuild:440 - FF primitive
1241
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1242
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1243
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1244
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM62REG_SP/REG' has unconnected
1245
   output pin
1246
WARNING:NgdBuild:440 - FF primitive
1247
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1248
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1249
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1250
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM63REG_SP/REG' has unconnected
1251
   output pin
1252
WARNING:NgdBuild:440 - FF primitive
1253
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1254
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1255
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1256
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM64REG_SP/REG' has unconnected
1257
   output pin
1258
WARNING:NgdBuild:440 - FF primitive
1259
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1260
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1261
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1262
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM65REG_SP/REG' has unconnected
1263
   output pin
1264
WARNING:NgdBuild:440 - FF primitive
1265
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1266
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1267
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1268
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM66REG_SP/REG' has unconnected
1269
   output pin
1270
WARNING:NgdBuild:440 - FF primitive
1271
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1272
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1273
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1274
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM67REG_SP/REG' has unconnected
1275
   output pin
1276
WARNING:NgdBuild:440 - FF primitive
1277
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1278
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1279
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1280
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM68REG_SP/REG' has unconnected
1281
   output pin
1282
WARNING:NgdBuild:440 - FF primitive
1283
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1284
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1285
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1286
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM69REG_SP/REG' has unconnected
1287
   output pin
1288
WARNING:NgdBuild:440 - FF primitive
1289
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1290
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1291
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1292
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM70REG_SP/REG' has unconnected
1293
   output pin
1294
WARNING:NgdBuild:440 - FF primitive
1295
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1296
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1297
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1298
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM71REG_SP/REG' has unconnected
1299
   outp
1300
ut pin
1301
WARNING:NgdBuild:440 - FF primitive
1302
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1303
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1304
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1305
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM72REG_SP/REG' has unconnected
1306
   output pin
1307
WARNING:NgdBuild:440 - FF primitive
1308
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1309
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1310
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1311
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM73REG_SP/REG' has unconnected
1312
   output pin
1313
WARNING:NgdBuild:440 - FF primitive
1314
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1315
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1316
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1317
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM74REG_SP/REG' has unconnected
1318
   output pin
1319
WARNING:NgdBuild:440 - FF primitive
1320
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1321
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1322
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1323
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM75REG_SP/REG' has unconnected
1324
   output pin
1325
WARNING:NgdBuild:440 - FF primitive
1326
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1327
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1328
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1329
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM76REG_SP/REG' has unconnected
1330
   output pin
1331
WARNING:NgdBuild:440 - FF primitive
1332
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1333
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1334
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1335
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM77REG_SP/REG' has unconnected
1336
   output pin
1337
WARNING:NgdBuild:440 - FF primitive
1338
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1339
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1340
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1341
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM78REG_SP/REG' has unconnected
1342
   output pin
1343
WARNING:NgdBuild:440 - FF primitive
1344
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1345
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1346
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1347
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM79REG_SP/REG' has unconnected
1348
   output pin
1349
WARNING:NgdBuild:440 - FF primitive
1350
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1351
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1352
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1353
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM80REG_SP/REG' has unconnected
1354
   output pin
1355
WARNING:NgdBuild:440 - FF primitive
1356
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1357
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1358
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1359
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM81REG_SP/REG' has unconnected
1360
   output pin
1361
WARNING:NgdBuild:440 - FF primitive
1362
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1363
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1364
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1365
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM82REG_SP/REG' has unconnected
1366
   outp
1367
ut pin
1368
WARNING:NgdBuild:440 - FF primitive
1369
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1370
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1371
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1372
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM83REG_SP/REG' has unconnected
1373
   output pin
1374
WARNING:NgdBuild:440 - FF primitive
1375
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1376
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1377
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1378
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM84REG_SP/REG' has unconnected
1379
   output pin
1380
WARNING:NgdBuild:440 - FF primitive
1381
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1382
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1383
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1384
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM85REG_SP/REG' has unconnected
1385
   output pin
1386
WARNING:NgdBuild:440 - FF primitive
1387
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1388
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1389
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1390
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM86REG_SP/REG' has unconnected
1391
   output pin
1392
WARNING:NgdBuild:440 - FF primitive
1393
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1394
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1395
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1396
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM87REG_SP/REG' has unconnected
1397
   output pin
1398
WARNING:NgdBuild:440 - FF primitive
1399
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1400
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1401
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1402
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM88REG_SP/REG' has unconnected
1403
   output pin
1404
WARNING:NgdBuild:440 - FF primitive
1405
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1406
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1407
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1408
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM89REG_SP/REG' has unconnected
1409
   output pin
1410
WARNING:NgdBuild:440 - FF primitive
1411
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1412
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1413
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1414
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM90REG_SP/REG' has unconnected
1415
   output pin
1416
WARNING:NgdBuild:440 - FF primitive
1417
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1418
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1419
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1420
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM91REG_SP/REG' has unconnected
1421
   output pin
1422
WARNING:NgdBuild:440 - FF primitive
1423
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1424
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1425
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1426
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM92REG_SP/REG' has unconnected
1427
   output pin
1428
WARNING:NgdBuild:440 - FF primitive
1429
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1430
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1431
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1432
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM93REG_SP/REG' has unconnected
1433
   outp
1434
ut pin
1435
WARNING:NgdBuild:440 - FF primitive
1436
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1437
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1438
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1439
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM94REG_SP/REG' has unconnected
1440
   output pin
1441
WARNING:NgdBuild:440 - FF primitive
1442
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1443
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1444
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1445
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM95REG_SP/REG' has unconnected
1446
   output pin
1447
WARNING:NgdBuild:440 - FF primitive
1448
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1449
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1450
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1451
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM96REG_SP/REG' has unconnected
1452
   output pin
1453
WARNING:NgdBuild:440 - FF primitive
1454
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1455
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1456
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1457
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM97REG_SP/REG' has unconnected
1458
   output pin
1459
WARNING:NgdBuild:440 - FF primitive
1460
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1461
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1462
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1463
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM98REG_SP/REG' has unconnected
1464
   output pin
1465
WARNING:NgdBuild:440 - FF primitive
1466
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1467
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1468
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1469
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM99REG_SP/REG' has unconnected
1470
   output pin
1471
WARNING:NgdBuild:440 - FF primitive
1472
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1473
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1474
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1475
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM100REG_SP/REG' has unconnected
1476
   output pin
1477
WARNING:NgdBuild:440 - FF primitive
1478
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1479
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1480
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1481
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM101REG_SP/REG' has unconnected
1482
   output pin
1483
WARNING:NgdBuild:440 - FF primitive
1484
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1485
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1486
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1487
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM102REG_SP/REG' has unconnected
1488
   output pin
1489
WARNING:NgdBuild:440 - FF primitive
1490
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1491
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1492
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1493
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM103REG_SP/REG' has unconnected
1494
   output pin
1495
WARNING:NgdBuild:440 - FF primitive
1496
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1497
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1498
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1499
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM104REG_SP/REG' has unconnected
1500
 
1501
 output pin
1502
WARNING:NgdBuild:440 - FF primitive
1503
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1504
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1505
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1506
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM105REG_SP/REG' has unconnected
1507
   output pin
1508
WARNING:NgdBuild:440 - FF primitive
1509
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1510
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1511
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1512
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM106REG_SP/REG' has unconnected
1513
   output pin
1514
WARNING:NgdBuild:440 - FF primitive
1515
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1516
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1517
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1518
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM107REG_SP/REG' has unconnected
1519
   output pin
1520
WARNING:NgdBuild:440 - FF primitive
1521
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1522
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1523
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1524
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM108REG_SP/REG' has unconnected
1525
   output pin
1526
WARNING:NgdBuild:440 - FF primitive
1527
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1528
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1529
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1530
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM109REG_SP/REG' has unconnected
1531
   output pin
1532
WARNING:NgdBuild:440 - FF primitive
1533
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1534
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1535
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1536
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM110REG_SP/REG' has unconnected
1537
   output pin
1538
WARNING:NgdBuild:440 - FF primitive
1539
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1540
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1541
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1542
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM111REG_SP/REG' has unconnected
1543
   output pin
1544
WARNING:NgdBuild:440 - FF primitive
1545
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1546
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1547
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1548
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM112REG_SP/REG' has unconnected
1549
   output pin
1550
WARNING:NgdBuild:440 - FF primitive
1551
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1552
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1553
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1554
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM113REG_SP/REG' has unconnected
1555
   output pin
1556
WARNING:NgdBuild:440 - FF primitive
1557
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1558
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1559
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1560
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM114REG_SP/REG' has unconnected
1561
   output pin
1562
WARNING:NgdBuild:440 - FF primitive
1563
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1564
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1565
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1566
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM115REG_SP/REG' has unconnec
1567
ted
1568
   output pin
1569
WARNING:NgdBuild:440 - FF primitive
1570
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1571
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1572
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1573
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM116REG_SP/REG' has unconnected
1574
   output pin
1575
WARNING:NgdBuild:440 - FF primitive
1576
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1577
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1578
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1579
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM117REG_SP/REG' has unconnected
1580
   output pin
1581
WARNING:NgdBuild:440 - FF primitive
1582
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1583
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1584
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1585
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM118REG_SP/REG' has unconnected
1586
   output pin
1587
WARNING:NgdBuild:440 - FF primitive
1588
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1589
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1590
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1591
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM119REG_SP/REG' has unconnected
1592
   output pin
1593
WARNING:NgdBuild:440 - FF primitive
1594
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1595
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1596
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1597
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM120REG_SP/REG' has unconnected
1598
   output pin
1599
WARNING:NgdBuild:440 - FF primitive
1600
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1601
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1602
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1603
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM121REG_SP/REG' has unconnected
1604
   output pin
1605
WARNING:NgdBuild:440 - FF primitive
1606
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1607
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1608
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1609
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM122REG_SP/REG' has unconnected
1610
   output pin
1611
WARNING:NgdBuild:440 - FF primitive
1612
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1613
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1614
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1615
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM123REG_SP/REG' has unconnected
1616
   output pin
1617
WARNING:NgdBuild:440 - FF primitive
1618
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1619
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1620
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1621
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM124REG_SP/REG' has unconnected
1622
   output pin
1623
WARNING:NgdBuild:440 - FF primitive
1624
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1625
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1626
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1627
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM125REG_SP/REG' has unconnected
1628
   output pin
1629
WARNING:NgdBuild:440 - FF primitive
1630
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1631
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1632
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1633
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM126REG_SP/REG' has unconnec
1634
ted
1635
   output pin
1636
WARNING:NgdBuild:440 - FF primitive
1637
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1638
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1639
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1640
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM127REG_SP/REG' has unconnected
1641
   output pin
1642
WARNING:NgdBuild:440 - FF primitive
1643
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1644
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1645
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1646
   fo_v4_0/control/read_blk/read_cnt/empty_blk/empty_flag_logic/almst_flag_blk/m
1647
   odule_gen/2/carry_gen/skip_one/spacer_fdce' has unconnected output pin
1648
WARNING:NgdBuild:440 - FF primitive
1649
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
1650
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
1651
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
1652
   fo_v4_0/control/write_blk/write_cnt/full_blk/full_flag_logic/almst_flag_blk/m
1653
   odule_gen/2/carry_gen/skip_one/spacer_fdce' has unconnected output pin
1654
WARNING:NgdBuild:452 - logical net
1655
   'microblaze_0/microblaze_0/Data_Flow_I/word_r1_r2_unalignment' has no driver
1656
WARNING:NgdBuild:452 - logical net
1657
   'microblaze_0/microblaze_0/Data_Flow_I/word_r1_imm_unalignment' has no driver
1658
WARNING:NgdBuild:452 - logical net
1659
   'microblaze_0/microblaze_0/Data_Flow_I/halfword_unalignment' has no driver
1660
WARNING:NgdBuild:478 - clock net debug_module/bscan_drck1 with clock driver
1661
   debug_module/debug_module/BUFG_DRCK1 drives no clock pins
1662
 
1663
NGDBUILD Design Results Summary:
1664
  Number of errors:     0
1665
  Number of warnings: 140
1666
 
1667
Writing NGD file "system.ngd" ...
1668
 
1669
Writing NGDBUILD log file "system.bld"...
1670
 
1671
NGDBUILD done.
1672
 
1673
 
1674
 
1675
#----------------------------------------------#
1676
# Starting program map
1677
# map -o system_map.ncd -pr b system.ngd system.pcf
1678
#----------------------------------------------#
1679
Release 7.1.02i - Map H.42
1680
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
1681
Using target part "2vp30ff896-7".
1682
Mapping design into LUTs...
1683
Writing file system_map.ngm...
1684
Running directed packing...
1685
Running delay-based LUT packing...
1686
Running related packing...
1687
Writing design file "system_map.ncd"...
1688
 
1689
Design Summary:
1690
Number of errors:      0
1691
Number of warnings:    8
1692
Logic Utilization:
1693
  Number of Slice Flip Flops:       1,541 out of  27,392    5%
1694
  Number of 4 input LUTs:           1,804 out of  27,392    6%
1695
Logic Distribution:
1696
  Number of occupied Slices:        1,727 out of  13,696   12%
1697
  Number of Slices containing only related logic:   1,727 out of   1,727  100%
1698
  Number of Slices containing unrelated logic:          0 out of   1,727    0%
1699
        *See NOTES below for an explanation of the effects of unrelated logic
1700
Total Number 4 input LUTs:          2,502 out of  27,392    9%
1701
  Number used as logic:             1,804
1702
  Number used as a route-thru:         22
1703
  Number used for Dual Port RAMs:     512
1704
    (Two LUTs used per Dual Port RAM)
1705
  Number used as Shift registers:     164
1706
 
1707
  Number of bonded IOBs:              139 out of     556   25%
1708
    IOB Flip Flops:                   288
1709
    IOB Dual-Data Rate Flops:          87
1710
  Number of PPC405s:                   0 out of       2    0%
1711
  Number of Block RAMs:                 4 out of     136    2%
1712
  Number of MULT18X18s:                 3 out of     136    2%
1713
  Number of GCLKs:                      5 out of      16   31%
1714
  Number of DCMs:                       2 out of       8   25%
1715
  Number of BSCANs:                     1 out of       1  100%
1716
  Number of GTs:                        0 out of       8    0%
1717
  Number of GT10s:                      0 out of       0    0%
1718
 
1719
   Number of RPM macros:            5
1720
Total equivalent gate count for design:  393,895
1721
Additional JTAG gate count for IOBs:  6,672
1722
Peak Memory Usage:  199 MB
1723
 
1724
NOTES:
1725
 
1726
   Related logic is defined as being logic that shares connectivity - e.g. two
1727
   LUTs are "related" if they share common inputs.  When assembling slices,
1728
   Map gives priority to combine logic that is related.  Doing so results in
1729
   the best timing performance.
1730
 
1731
   Unrelated logic shares no connectivity.  Map will only begin packing
1732
   unrelated logic into a slice once 99% of the slices are occupied through
1733
   related logic packing.
1734
 
1735
   Note that once logic distribution reaches the 99% level through related
1736
   logic packing, this does not mean the device is completely utilized.
1737
   Unrelated logic packing will then begin, continuing until all usable LUTs
1738
   and FFs are occupied.  Depending on your timing budget, increased levels of
1739
   unrelated logic packing may adversely affect the overall timing performance
1740
   of your design.
1741
 
1742
Mapping completed.
1743
See MAP report file "system_map.mrp" for details.
1744
 
1745
 
1746
 
1747
#----------------------------------------------#
1748
# Starting program par
1749
# par -w -ol high system_map.ncd system.ncd system.pcf
1750
#----------------------------------------------#
1751
Release 7.1.02i - par H.42
1752
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
1753
 
1754
 
1755
 
1756
Constraints file: system.pcf.
1757
WARNING:Par:331 - You are using an evaluation version of Xilinx Software. In 17
1758
   days, this program will not operate. For more information about this product,
1759
   please refer to the Evaluation Agreement, which was shipped to you along with
1760
   the Evaluation CDs.
1761
   To purchase an annual license for this software, please contact your local
1762
   Field Applications Engineer (FAE) or salesperson. If you have any questions,
1763
   or if we can assist in any way, please send an email to: eval@xilinx.com
1764
   Thank You!
1765
Loading device for application Rf_Device from file '2vp30.nph' in environment
1766
c:/Xilinx.
1767
   "system" is an NCD, version 3.1, device xc2vp30, package ff896, speed -7
1768
 
1769
Initializing temperature to 85.000 Celsius. (default - Range: -40.000 to 100.000
1770
Celsius)
1771
Initializing voltage to 1.500 Volts. (default - Range: 1.400 to 1.600 Volts)
1772
 
1773
Device speed data version:  "PRODUCTION 1.91 2005-07-22".
1774
 
1775
 
1776
Device Utilization Summary:
1777
 
1778
   Number of BSCANs                    1 out of 1     100%
1779
   Number of BUFGMUXs                  5 out of 16     31%
1780
   Number of DCMs                      2 out of 8      25%
1781
   Number of External IOBs           139 out of 556    25%
1782
      Number of LOCed IOBs           139 out of 139   100%
1783
 
1784
   Number of MULT18X18s                3 out of 136     2%
1785
   Number of RAMB16s                   4 out of 136     2%
1786
   Number of SLICEs                 1727 out of 13696  12%
1787
 
1788
 
1789
Overall effort level (-ol):   High (set by user)
1790
Placer effort level (-pl):    High (set by user)
1791
Placer cost table entry (-t): 1
1792
Router effort level (-rl):    High (set by user)
1793
 
1794
Starting initial Timing Analysis.  REAL time: 7 secs
1795
Finished initial Timing Analysis.  REAL time: 7 secs
1796
 
1797
 
1798
Starting Placer
1799
 
1800
Phase 1.1
1801
Phase 1.1 (Checksum:9c1d97) REAL time: 9 secs
1802
 
1803
Phase 2.31
1804
Phase 2.31 (Checksum:1312cfe) REAL time: 9 secs
1805
 
1806
WARNING:Place:414 - The input design contains local clock signal(s). To get the
1807
   better result, we recommend users run map with the "-timing" option set
1808
   before starting the placement.
1809
Phase 3.2
1810
...
1811
...
1812
 
1813
 
1814
Phase 3.2 (Checksum:98de91) REAL time: 16 secs
1815
 
1816
Phase 4.30
1817
Phase 4.30 (Checksum:26259fc) REAL time: 16 secs
1818
 
1819
Phase 5.3
1820
Phase 5.3 (Checksum:2faf07b) REAL time: 16 secs
1821
 
1822
Phase 6.5
1823
Phase 6.5 (Checksum:39386fa) REAL time: 16 secs
1824
 
1825
Phase 7.8
1826
....................................................................
1827
...............................................................................
1828
....
1829
.................
1830
......
1831
...........
1832
.......
1833
Phase 7.8 (Checksum:f317bb) REAL time: 30 secs
1834
 
1835
Phase 8.5
1836
Phase 8.5 (Checksum:4c4b3f8) REAL time: 30 secs
1837
 
1838
Phase 9.18
1839
Phase 9.18 (Checksum:55d4a77) REAL time: 36 secs
1840
 
1841
Phase 10.5
1842
Phase 10.5 (Checksum:5f5e0f6) REAL time: 36 secs
1843
 
1844
Phase 11.27
1845
Phase 11.27 (Checksum:68e7775) REAL time: 38 secs
1846
 
1847
Phase 12.24
1848
Phase 12.24 (Checksum:7270df4) REAL time: 38 secs
1849
Writing design to file system.ncd
1850
 
1851
 
1852
Total REAL time to Placer completion: 40 secs
1853
Total CPU time to Placer completion: 39 secs
1854
 
1855
Starting Router
1856
Phase 1: 17320 unrouted;       REAL time: 51 secs
1857
Phase 2: 15409 unrouted;       REAL time: 53 secs
1858
Phase 3: 4358 unrouted;       REAL time: 55 secs
1859
Phase 4: 4358 unrouted; (67802)      REAL time: 56 secs
1860
Phase 5: 4380 unrouted; (3513)      REAL time: 1 mins
1861
 
1862
Phase 6: 4382 unrouted; (0)      REAL time: 1 mins 1 secs
1863
Phase 7: 0 unrouted; (0)      REAL time: 1 mins 14 secs
1864
Phase 8: 0 unrouted; (0)      REAL time: 1 mins 16 secs
1865
 
1866
Total REAL time to Router completion: 1 mins 19 secs
1867
Total CPU time to Router completion: 1 mins 17 secs
1868
 
1869
Generating "PAR" statistics.
1870
 
1871
**************************
1872
Generating Clock Report
1873
**************************
1874
 
1875
+---------------------+--------------+------+------+------------+-------------+
1876
|        Clock Net    |   Resource   |Locked|Fanout|Net Skew(ns)|Max Delay(ns)|
1877
+---------------------+--------------+------+------+------------+-------------+
1878
|  dlmb_port_BRAM_Clk |     BUFGMUX5S| No   | 1197 |  0.280     |  1.257      |
1879
+---------------------+--------------+------+------+------------+-------------+
1880
|           DBG_CLK_s |     BUFGMUX4P| No   |  139 |  0.280     |  1.257      |
1881
+---------------------+--------------+------+------+------------+-------------+
1882
|        ddr_clk_90_s |     BUFGMUX3P| No   |  275 |  0.147     |  1.257      |
1883
+---------------------+--------------+------+------+------------+-------------+
1884
|            clk_90_s |     BUFGMUX0P| No   |   38 |  0.145     |  1.257      |
1885
+---------------------+--------------+------+------+------------+-------------+
1886
|fpga_0_SysACE_Compac |              |      |      |            |             |
1887
|   tFlash_SysACE_CLK |         Local|      |   65 |  0.288     |  2.490      |
1888
+---------------------+--------------+------+------+------------+-------------+
1889
|debug_module/bscan_u |              |      |      |            |             |
1890
|               pdate |         Local|      |    1 |  0.000     |  0.356      |
1891
+---------------------+--------------+------+------+------------+-------------+
1892
 
1893
Timing Score: 0
1894
 
1895
Asterisk (*) preceding a constraint indicates it was not met.
1896
   This may be due to a setup or hold violation.
1897
 
1898
--------------------------------------------------------------------------------
1899
  Constraint                                | Requested  | Actual     | Logic
1900
                                            |            |            | Levels
1901
--------------------------------------------------------------------------------
1902
  NET "fpga_0_SysACE_CompactFlash_SysACE_CL | 30.000ns   | 4.993ns    | 2
1903
  K" PERIOD = 30 ns HIGH 50%                |            |            |
1904
--------------------------------------------------------------------------------
1905
  TSCLK2CLK90_DDR_256MB_32MX64_rank1_row13_ | 2.500ns    | 2.389ns    | 0
1906
  col10_cl2_5 = MAXDELAY FROM TIMEGRP       |            |            |
1907
     "OPB_Clk_DDR_256MB_32MX64_rank1_row13_ |            |            |
1908
  col10_cl2_5" TO TIMEGRP         "Device_C |            |            |
1909
  lk90_in_DDR_256MB_32MX64_rank1_row13_col1 |            |            |
1910
  0_cl2_5" 2.5 ns                           |            |            |
1911
--------------------------------------------------------------------------------
1912
  TS_sys_clk_pin = PERIOD TIMEGRP "sys_clk_ | N/A        | N/A        | N/A
1913
  pin" 10 ns HIGH 50%                       |            |            |
1914
--------------------------------------------------------------------------------
1915
  TS_dcm_0_dcm_0_CLK0_BUF = PERIOD TIMEGRP  | 10.000ns   | 9.869ns    | 10
1916
  "dcm_0_dcm_0_CLK0_BUF" TS_sys_clk_pin     |            |            |
1917
       HIGH 50%                             |            |            |
1918
--------------------------------------------------------------------------------
1919
  TS_dcm_0_dcm_0_CLK90_BUF = PERIOD TIMEGRP | 10.000ns   | 5.328ns    | 0
1920
   "dcm_0_dcm_0_CLK90_BUF"         TS_sys_c |            |            |
1921
  lk_pin PHASE 2.5 ns HIGH 50%              |            |            |
1922
--------------------------------------------------------------------------------
1923
 
1924
 
1925
All constraints were met.
1926
INFO:Timing:2761 - N/A entries in the Constraints list may indicate that the
1927
   constraint does not cover any paths or that it has no requested value.
1928
Generating Pad Report.
1929
 
1930
All signals are completely routed.
1931
 
1932
Total REAL time to PAR completion: 1 mins 22 secs
1933
Total CPU time to PAR completion: 1 mins 19 secs
1934
 
1935
Peak Memory Usage:  239 MB
1936
 
1937
Placement: Completed - No errors found.
1938
Routing: Completed - No errors found.
1939
Timing: Completed - No errors found.
1940
 
1941
Number of error messages: 0
1942
Number of warning messages: 2
1943
Number of info messages: 0
1944
 
1945
Writing design to file system.ncd
1946
 
1947
PAR done!
1948
 
1949
 
1950
 
1951
#----------------------------------------------#
1952
# Starting program post_par_trce
1953
# trce -e 3 -xml system.twx system.ncd system.pcf
1954
#----------------------------------------------#
1955
Release 7.1.02i - Trace H.42
1956
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
1957
 
1958
 
1959
Loading device for application Rf_Device from file '2vp30.nph' in environment
1960
c:/Xilinx.
1961
   "system" is an NCD, version 3.1, device xc2vp30, package ff896, speed -7
1962
--------------------------------------------------------------------------------
1963
Release 7.1.02i Trace H.42
1964
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
1965
 
1966
trce -e 3 -xml system.twx system.ncd system.pcf
1967
 
1968
 
1969
Design file:              system.ncd
1970
Physical constraint file: system.pcf
1971
Device,speed:             xc2vp30,-7 (PRODUCTION 1.91 2005-07-22)
1972
Report level:             error report
1973
--------------------------------------------------------------------------------
1974
 
1975
INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths
1976
   option. All paths that are not constrained will be reported in the
1977
   unconstrained paths section(s) of the report.
1978
 
1979
 
1980
Timing summary:
1981
---------------
1982
 
1983
Timing errors: 0  Score: 0
1984
 
1985
Constraints cover 224855 paths, 0 nets, and 13152 connections
1986
 
1987
Design statistics:
1988
   Minimum period:   9.869ns (Maximum frequency: 101.327MHz)
1989
   Maximum path delay from/to any node:   2.389ns
1990
 
1991
 
1992
Analysis completed Wed Nov 01 18:35:51 2006
1993
--------------------------------------------------------------------------------
1994
 
1995
Generating Report ...
1996
 
1997
Number of warnings: 0
1998
Number of info messages: 1
1999
Total time: 8 secs
2000
 
2001
 
2002
xflow done!
2003
cd implementation; bitgen -w -f bitgen.ut system
2004
Release 7.1.02i - Bitgen H.42
2005
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
2006
Loading device for application Rf_Device from file '2vp30.nph' in environment
2007
c:/Xilinx.
2008
   "system" is an NCD, version 3.1, device xc2vp30, package ff896, speed -7
2009
Opened constraints file system.pcf.
2010
 
2011
Wed Nov 01 18:35:56 2006
2012
 
2013
Running DRC.
2014
WARNING:PhysDesignRules:367 - The signal  is
2015
   incomplete. The signal does not drive any load pins in the design.
2016
DRC detected 0 errors and 1 warnings.
2017
Creating bit map...
2018
Saving bit stream in "system.bit".
2019
Creating bit mask...
2020
Saving mask bit stream in "system.msk".
2021
Bitstream generation is complete.
2022
Done.
2023
At Local date and time: Wed Nov 01 19:05:53 2006
2024
Command xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make bits; exit;" Started...
2025
make: Nothing to be done for `bits'.
2026
Done.
2027
At Local date and time: Wed Nov 01 19:05:57 2006
2028
Command xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make init_bram; exit;" Started...
2029
*********************************************
2030
Initializing BRAM contents of the bitstream
2031
*********************************************
2032
bitinit system.mhs -lp D:/XilinxXUP/lib/ -pe microblaze_0 TestApp_Memory/executable.elf  \
2033
-bt implementation/system.bit -o implementation/download.bit
2034
 
2035
bitinit version Xilinx EDK 7.1.2 Build EDK_H.12.5.1
2036
Copyright (c) Xilinx Inc. 2002.
2037
 
2038
Parsing MHS File system.mhs...
2039
Sourcing tcl file
2040
C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0.
2041
tcl ...
2042
Sourcing tcl file
2043
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl
2044
...
2045
Sourcing tcl file
2046
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if
2047
_cntlr_v2_1_0.tcl ...
2048
Sourcing tcl file
2049
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0.
2050
tcl ...
2051
Sourcing tcl file
2052
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_ddr_v2_00_b/data/opb_ddr_v2_1_0.tcl
2053
...
2054
 
2055
Overriding IP level properties ...
2056
microblaze (microblaze_0) -
2057
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
2058
mpd:60 - tool overriding c_family value virtex2 to
2059
microblaze (microblaze_0) -
2060
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
2061
mpd:61 - tool overriding c_instance value microblaze to microblaze_0
2062
microblaze (microblaze_0) -
2063
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
2064
mpd:90 - tcl overriding C_ADDR_TAG_BITS value 17 to 0
2065
microblaze (microblaze_0) -
2066
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
2067
mpd:97 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0
2068
opb_mdm (debug_module) -
2069
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd:38
2070
- tool overriding c_family value virtex2 to
2071
bram_block (lmb_bram) -
2072
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
2073
mpd:39 - tool overriding c_family value virtex2 to
2074
opb_ddr (ddr_256mb_32mx64_rank1_row13_col10_cl2_5) -
2075
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_ddr_v2_00_b\data\opb_ddr_v2_1_0.mpd:42
2076
- tool overriding c_family value virtex2 to
2077
dcm_module (dcm_0) -
2078
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
2079
mpd:56 - tool overriding c_family value virtex2 to
2080
dcm_module (dcm_1) -
2081
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
2082
mpd:56 - tool overriding c_family value virtex2 to
2083
 
2084
Performing IP level DRCs on properties...
2085
 
2086
Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC...
2087
Address Map for Processor microblaze_0
2088
  (0x00000000-0x00001fff) dlmb_cntlr    dlmb
2089
  (0x00000000-0x00001fff) ilmb_cntlr    ilmb
2090
  (0x30000000-0x3fffffff) DDR_256MB_32MX64_rank1_row13_col10_cl2_5      mb_opb
2091
  (0x40600000-0x4060ffff) RS232_Uart_1  mb_opb
2092
  (0x41400000-0x4140ffff) debug_module  mb_opb
2093
  (0x41800000-0x4180ffff) SysACE_CompactFlash   mb_opb
2094
 
2095
Initializing Memory...
2096
Checking ELFs associated with MICROBLAZE instance microblaze_0 for overlap...
2097
 
2098
 
2099
Analyzing file TestApp_Memory/executable.elf...
2100
INFO:MDT - BRAM lmb_bram will be initialized with ELF of processor microblaze_0
2101
Running Data2Mem with the following command:
2102
data2mem -bm implementation/system_bd -bt implementation/system.bit  -bd
2103
TestApp_Memory/executable.elf tag lmb_bram  -o b implementation/download.bit
2104
Memory Initialization completed successfully.
2105
Done.
2106
WARNING:Portability:111 - Message file "MDT.msg" wasn't found.
2107
 
2108
Saving MSS changes, if any.
2109
 
2110
Loading Project File..
2111
Linker Script generated successfully.
2112
 
2113
Saving MSS changes, if any.
2114
 
2115
Loading Project File..
2116
At Local date and time: Wed Nov 01 19:09:15 2006
2117
Command xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make init_bram; exit;" Started...
2118
****************************************************
2119
Creating system netlist for hardware specification..
2120
****************************************************
2121
platgen -p xc2vp30ff896-7 -lang vhdl -lp D:/XilinxXUP/lib/  -st xst system.mhs
2122
 
2123
Release Xilinx EDK 7.1.2 - platgen EDK_H.12.5.1
2124
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
2125
 
2126
Command Line: platgen -p xc2vp30ff896-7 -lang vhdl -lp D:/XilinxXUP/lib/ -st xst
2127
system.mhs
2128
 
2129
Parse system.mhs ...
2130
 
2131
Read MPD definitions ...
2132
Sourcing tcl file
2133
C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0.
2134
tcl ...
2135
Sourcing tcl file
2136
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl
2137
...
2138
Sourcing tcl file
2139
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if
2140
_cntlr_v2_1_0.tcl ...
2141
Sourcing tcl file
2142
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0.
2143
tcl ...
2144
Sourcing tcl file
2145
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_ddr_v2_00_b/data/opb_ddr_v2_1_0.tcl
2146
...
2147
 
2148
Overriding IP level properties ...
2149
microblaze (microblaze_0) -
2150
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
2151
mpd:60 - tool overriding c_family value virtex2 to virtex2p
2152
microblaze (microblaze_0) -
2153
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
2154
mpd:61 - tool overriding c_instance value microblaze to microblaze_0
2155
microblaze (microblaze_0) -
2156
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
2157
mpd:90 - tcl overriding C_ADDR_TAG_BITS value 17 to 0
2158
microblaze (microblaze_0) -
2159
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
2160
mpd:97 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0
2161
opb_mdm (debug_module) -
2162
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd:38
2163
- tool overriding c_family value virtex2 to virtex2p
2164
bram_block (lmb_bram) -
2165
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
2166
mpd:39 - tool overriding c_family value virtex2 to virtex2p
2167
opb_ddr (ddr_256mb_32mx64_rank1_row13_col10_cl2_5) -
2168
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_ddr_v2_00_b\data\opb_ddr_v2_1_0.mpd:42
2169
- tool overriding c_family value virtex2 to virtex2p
2170
dcm_module (dcm_0) -
2171
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
2172
mpd:56 - tool overriding c_family value virtex2 to virtex2p
2173
dcm_module (dcm_1) -
2174
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
2175
mpd:56 - tool overriding c_family value virtex2 to virtex2p
2176
 
2177
Performing IP level DRCs on properties...
2178
 
2179
Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC...
2180
Address Map for Processor microblaze_0
2181
  (0x00000000-0x0000ffff) dlmb_cntlr    dlmb
2182
  (0x00000000-0x0000ffff) ilmb_cntlr    ilmb
2183
  (0x40600000-0x4060ffff) RS232_Uart_1  mb_opb
2184
  (0x41400000-0x4140ffff) debug_module  mb_opb
2185
  (0x41800000-0x4180ffff) SysACE_CompactFlash   mb_opb
2186
  (0x70000000-0x7fffffff) DDR_256MB_32MX64_rank1_row13_col10_cl2_5      mb_opb
2187
 
2188
Check platform configuration ...
2189
opb_v20 (mb_opb) - D:\mb-jpeg\system.mhs:68 - 2 master(s) : 4 slave(s)
2190
lmb_v10 (ilmb) - D:\mb-jpeg\system.mhs:94 - 1 master(s) : 1 slave(s)
2191
lmb_v10 (dlmb) - D:\mb-jpeg\system.mhs:102 - 1 master(s) : 1 slave(s)
2192
 
2193
Check port drivers...
2194
 
2195
Check platform address map ...
2196
 
2197
Overriding system level properties ...
2198
opb_v20 (mb_opb) -
2199
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd:36
2200
- tool overriding c_num_masters value 4 to 2
2201
lmb_v10 (ilmb) -
2202
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd:36
2203
- tool overriding c_lmb_num_slaves value 4 to 1
2204
lmb_v10 (dlmb) -
2205
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd:36
2206
- tool overriding c_lmb_num_slaves value 4 to 1
2207
lmb_bram_if_cntlr (dlmb_cntlr) -
2208
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
2209
_cntlr_v2_1_0.mpd:42 - tool overriding c_mask value 0x00800000 to 0x10c00000
2210
lmb_bram_if_cntlr (ilmb_cntlr) -
2211
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
2212
_cntlr_v2_1_0.mpd:42 - tool overriding c_mask value 0x00800000 to 0x10c00000
2213
bram_block (lmb_bram) -
2214
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
2215
mpd:35 - tool overriding c_memsize value 2048 to 65536
2216
 
2217
Running DRC Tcl procedures for OPTION SYSLEVEL_DRC_PROC...
2218
Sourcing tcl file
2219
C:/EDK/hw/XilinxProcessorIPLib/pcores/ddr_v2_00_b/data/ddr_v2_1_0.tcl ...
2220
 
2221
Performing System level DRCs on properties...
2222
 
2223
Running UPDATE Tcl procedures for OPTION PLATGEN_SYSLEVEL_UPDATE_PROC...
2224
Sourcing tcl file
2225
C:/EDK/hw/XilinxProcessorIPLib/pcores/ddr_v2_00_b/data/ddr_v2_1_0.tcl ...
2226
INFO: The DDR_256MB_32MX64_rank1_row13_col10_cl2_5 core has constraints automatically generated by XPS in implementation/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper.ucf.
2227
It can be overridden by constraints placed in the system.ucf file.
2228
 
2229
 
2230
Modify defaults ...
2231
 
2232
Processing licensed instances ...
2233
Completion time: 0.00 seconds
2234
 
2235
Creating hardware output directories ...
2236
 
2237
Managing hardware (BBD-specified) netlist files ...
2238
 
2239
Managing cache ...
2240
microblaze (microblaze_0) - D:\mb-jpeg\system.mhs:48 - Copying cache
2241
implementation netlist
2242
opb_v20 (mb_opb) - D:\mb-jpeg\system.mhs:68 - Copying cache implementation
2243
netlist
2244
opb_mdm (debug_module) - D:\mb-jpeg\system.mhs:76 - Copying cache implementation
2245
netlist
2246
lmb_v10 (ilmb) - D:\mb-jpeg\system.mhs:94 - Copying cache implementation netlist
2247
lmb_v10 (dlmb) - D:\mb-jpeg\system.mhs:102 - Copying cache implementation
2248
netlist
2249
opb_uartlite (rs232_uart_1) - D:\mb-jpeg\system.mhs:135 - Copying cache
2250
implementation netlist
2251
opb_sysace (sysace_compactflash) - D:\mb-jpeg\system.mhs:151 - Copying cache
2252
implementation netlist
2253
util_vector_logic (sysclk_inv) - D:\mb-jpeg\system.mhs:212 - Copying cache
2254
implementation netlist
2255
util_vector_logic (clk90_inv) - D:\mb-jpeg\system.mhs:221 - Copying cache
2256
implementation netlist
2257
util_vector_logic (ddr_clk90_inv) - D:\mb-jpeg\system.mhs:230 - Copying cache
2258
implementation netlist
2259
dcm_module (dcm_0) - D:\mb-jpeg\system.mhs:239 - Copying cache implementation
2260
netlist
2261
dcm_module (dcm_1) - D:\mb-jpeg\system.mhs:255 - Copying cache implementation
2262
netlist
2263
 
2264
Elaborating instances ...
2265
bram_block (lmb_bram) - D:\mb-jpeg\system.mhs:128 - elaborating IP
2266
 
2267
Writing HDL for elaborated instances ...
2268
 
2269
Inserting wrapper level ...
2270
Completion time: 3.00 seconds
2271
 
2272
Constructing platform-level signal connectivity ...
2273
Completion time: 4.00 seconds
2274
 
2275
Writing (top-level) BMM ...
2276
Writing BMM - D:\mb-jpeg\implementation\system.bmm
2277
 
2278
Writing (top-level and wrappers) HDL ...
2279
 
2280
Generating synthesis project file ...
2281
 
2282
Running XST synthesis ...
2283
INFO:MDT - The following instances are synthesized with XST. The MPD option
2284
   IMP_NETLIST=TRUE indicates that a NGC file is to be produced using XST
2285
   synthesis. IMP_NETLIST=FALSE (default) instances are not synthesized.
2286
dlmb_cntlr_wrapper (dlmb_cntlr) - D:\mb-jpeg\system.mhs:110 - Running XST
2287
synthesis
2288
ilmb_cntlr_wrapper (ilmb_cntlr) - D:\mb-jpeg\system.mhs:119 - Running XST
2289
synthesis
2290
lmb_bram_wrapper (lmb_bram) - D:\mb-jpeg\system.mhs:128 - Running XST synthesis
2291
ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper
2292
(ddr_256mb_32mx64_rank1_row13_col10_cl2_5) - D:\mb-jpeg\system.mhs:168 - Running
2293
XST synthesis
2294
 
2295
Running NGCBUILD ...
2296
ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper
2297
(ddr_256mb_32mx64_rank1_row13_col10_cl2_5) - D:\mb-jpeg\system.mhs:168 - Running
2298
NGCBUILD
2299
 
2300
Rebuilding cache ...
2301
Total run time: 104.00 seconds
2302
Running synthesis...
2303
bash -c "cd synthesis; ./synthesis.sh; cd .."
2304
WARNING:Xst:1530 - You are using an evaluation version of Xilinx Software. In 17
2305
   days, this program will not operate. For more information about this product,
2306
   please refer to the Evaluation Agreement, which was shipped to you along with
2307
   the Evaluation CDs.
2308
   To purchase an annual license for this software, please contact your local
2309
   Field Applications Engineer (FAE) or salesperson. If you have any questions,
2310
   or if we can assist in any way, please send an email to: eval@xilinx.com
2311
   Thank You!
2312
Release 7.1.02i - xst H.42
2313
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
2314
-->
2315
TABLE OF CONTENTS
2316
  1) Synthesis Options Summary
2317
  2) HDL Compilation
2318
  3) HDL Analysis
2319
  4) HDL Synthesis
2320
  5) Advanced HDL Synthesis
2321
     5.1) HDL Synthesis Report
2322
  6) Low Level Synthesis
2323
  7) Final Report
2324
     7.1) Device utilization summary
2325
     7.2) TIMING REPORT
2326
 
2327
 
2328
=========================================================================
2329
*                      Synthesis Options Summary                        *
2330
=========================================================================
2331
---- Source Parameters
2332
Input Format                       : MIXED
2333
Input File Name                    : "system_xst.prj"
2334
 
2335
---- Target Parameters
2336
Target Device                      : xc2vp30ff896-7
2337
Output File Name                   : "../implementation/system.ngc"
2338
 
2339
---- Source Options
2340
Top Module Name                    : system
2341
 
2342
---- Target Options
2343
Add IO Buffers                     : NO
2344
 
2345
---- General Options
2346
Optimization Goal                  : speed
2347
RTL Output                         : YES
2348
Hierarchy Separator                : /
2349
 
2350
=========================================================================
2351
 
2352
WARNING:Xst:29 - Optimization Effort not specified
2353
The following parameters have been added:
2354
Optimization Effort                : 1
2355
 
2356
=========================================================================
2357
 
2358
=========================================================================
2359
*                          HDL Compilation                              *
2360
=========================================================================
2361
Compiling vhdl file "d:/mb-jpeg/synthesis/../hdl/system.vhd" in Library work.
2362
Entity  compiled.
2363
Entity  (Architecture ) compiled.
2364
 
2365
=========================================================================
2366
*                            HDL Analysis                               *
2367
=========================================================================
2368
Analyzing Entity  (Architecture ).
2369
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1452: Generating a Black Box for component .
2370
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1458: Generating a Black Box for component .
2371
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1464: Generating a Black Box for component .
2372
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1470: Generating a Black Box for component .
2373
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1476: Generating a Black Box for component .
2374
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1482: Generating a Black Box for component .
2375
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1488: Generating a Black Box for component .
2376
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1494: Generating a Black Box for component .
2377
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1500: Generating a Black Box for component .
2378
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1506: Generating a Black Box for component .
2379
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1512: Generating a Black Box for component .
2380
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1520: Generating a Black Box for component .
2381
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1528: Generating a Black Box for component .
2382
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1536: Generating a Black Box for component .
2383
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1544: Generating a Black Box for component .
2384
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1552: Generating a Black Box for component .
2385
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1560: Generating a Black Box for component .
2386
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1568: Generating a Black Box for component .
2387
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1576: Generating a Black Box for component .
2388
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1584: Generating a Black Box for component .
2389
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1592: Generating a Black Box for component .
2390
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1600: Generating a Black Box for component .
2391
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1608: Generating a Black Box for component .
2392
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1616: Generating a Black Box for component .
2393
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1624: Generating a Black Box for component .
2394
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1632: Generating a Black Box for component .
2395
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1640: Generating a Black Box for component .
2396
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1646: Generating a Black Box for component .
2397
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1652: Generating a Black Box for component .
2398
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1658: Generating a Black Box for component .
2399
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1664: Generating a Black Box for component .
2400
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1670: Generating a Black Box for component .
2401
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1676: Generating a Black Box for component .
2402
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1682: Generating a Black Box for component .
2403
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1688: Generating a Black Box for component .
2404
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1694: Generating a Black Box for component .
2405
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1700: Generating a Black Box for component .
2406
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1706: Generating a Black Box for component .
2407
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1712: Generating a Black Box for component .
2408
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1718: Generating a Black Box for component .
2409
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1724: Generating a Black Box for component .
2410
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1730: Generating a Black Box for component .
2411
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1736: Generating a Black Box for component .
2412
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1742: Generating a Black Box for component .
2413
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1748: Generating a Black Box for component .
2414
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1754: Generating a Black Box for component .
2415
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1760: Generating a Black Box for component .
2416
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1766: Generating a Black Box for component .
2417
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1772: Generating a Black Box for component .
2418
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1778: Generating a Black Box for component .
2419
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1784: Generating a Black Box for component .
2420
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1790: Generating a Black Box for component .
2421
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1796: Generating a Black Box for component .
2422
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1802: Generating a Black Box for component .
2423
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1808: Generating a Black Box for component .
2424
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1814: Generating a Black Box for component .
2425
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1820: Generating a Black Box for component .
2426
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1826: Generating a Black Box for component .
2427
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1832: Generating a Black Box for component .
2428
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1838: Generating a Black Box for component .
2429
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1844: Generating a Black Box for component .
2430
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1850: Generating a Black Box for component .
2431
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1856: Generating a Black Box for component .
2432
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1864: Generating a Black Box for component .
2433
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1872: Generating a Black Box for component .
2434
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1880: Generating a Black Box for component .
2435
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1888: Generating a Black Box for component .
2436
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1896: Generating a Black Box for component .
2437
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1904: Generating a Black Box for component .
2438
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1912: Generating a Black Box for component .
2439
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1920: Generating a Black Box for component .
2440
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1928: Generating a Black Box for component .
2441
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1936: Generating a Black Box for component .
2442
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1944: Generating a Black Box for component .
2443
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1952: Generating a Black Box for component .
2444
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1960: Generating a Black Box for component .
2445
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1968: Generating a Black Box for component .
2446
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1976: Generating a Black Box for component .
2447
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1984: Generating a Black Box for component .
2448
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1992: Generating a Black Box for component .
2449
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2000: Generating a Black Box for component .
2450
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2008: Generating a Black Box for component .
2451
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2016: Generating a Black Box for component .
2452
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2024: Generating a Black Box for component .
2453
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2032: Generating a Black Box for component .
2454
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2040: Generating a Black Box for component .
2455
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2048: Generating a Black Box for component .
2456
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2056: Generating a Black Box for component .
2457
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2064: Generating a Black Box for component .
2458
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2072: Generating a Black Box for component .
2459
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2080: Generating a Black Box for component .
2460
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2088: Generating a Black Box for component .
2461
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2096: Generating a Black Box for component .
2462
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2104: Generating a Black Box for component .
2463
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2112: Generating a Black Box for component .
2464
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2120: Generating a Black Box for component .
2465
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2128: Generating a Black Box for component .
2466
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2136: Generating a Black Box for component .
2467
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2144: Generating a Black Box for component .
2468
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2152: Generating a Black Box for component .
2469
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2160: Generating a Black Box for component .
2470
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2168: Generating a Black Box for component .
2471
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2176: Generating a Black Box for component .
2472
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2184: Generating a Black Box for component .
2473
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2192: Generating a Black Box for component .
2474
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2200: Generating a Black Box for component .
2475
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2208: Generating a Black Box for component .
2476
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2216: Generating a Black Box for component .
2477
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2224: Generating a Black Box for component .
2478
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2232: Generating a Black Box for component .
2479
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2240: Generating a Black Box for component .
2480
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2248: Generating a Black Box for component .
2481
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2256: Generating a Black Box for component .
2482
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2264: Generating a Black Box for component .
2483
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2272: Generating a Black Box for component .
2484
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2280: Generating a Black Box for component .
2485
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2288: Generating a Black Box for component .
2486
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2296: Generating a Black Box for component .
2487
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2304: Generating a Black Box for component .
2488
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2312: Generating a Black Box for component .
2489
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2320: Generating a Black Box for component .
2490
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2328: Generating a Black Box for component .
2491
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2336: Generating a Black Box for component .
2492
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2344: Generating a Black Box for component .
2493
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2352: Generating a Black Box for component .
2494
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2360: Generating a Black Box for component .
2495
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2368: Generating a Black Box for component .
2496
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2376: Generating a Black Box for component .
2497
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2384: Generating a Black Box for component .
2498
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2392: Generating a Black Box for component .
2499
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2400: Generating a Black Box for component .
2500
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2408: Generating a Black Box for component .
2501
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2416: Generating a Black Box for component .
2502
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2424: Generating a Black Box for component .
2503
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2432: Generating a Black Box for component .
2504
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2438: Generating a Black Box for component .
2505
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2444: Generating a Black Box for component .
2506
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2450: Generating a Black Box for component .
2507
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2456: Generating a Black Box for component .
2508
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2462: Generating a Black Box for component .
2509
Entity  analyzed. Unit  generated.
2510
 
2511
 
2512
=========================================================================
2513
*                           HDL Synthesis                               *
2514
=========================================================================
2515
 
2516
Synthesizing Unit .
2517
    Related source file is "d:/mb-jpeg/synthesis/../hdl/system.vhd".
2518
WARNING:Xst:646 - Signal > is assigned but never used.
2519
WARNING:Xst:646 - Signal > is assigned but never used.
2520
Unit  synthesized.
2521
 
2522
 
2523
=========================================================================
2524
*                       Advanced HDL Synthesis                          *
2525
=========================================================================
2526
 
2527
Advanced RAM inference ...
2528
Advanced multiplier inference ...
2529
Advanced Registered AddSub inference ...
2530
Dynamic shift register inference ...
2531
 
2532
=========================================================================
2533
HDL Synthesis Report
2534
 
2535
Found no macro
2536
=========================================================================
2537
 
2538
=========================================================================
2539
*                         Low Level Synthesis                           *
2540
=========================================================================
2541
Loading device for application Rf_Device from file '2vp30.nph' in environment c:/Xilinx.
2542
 
2543
Optimizing unit  ...
2544
 
2545
Mapping all equations...
2546
Building and optimizing final netlist ...
2547
 
2548
=========================================================================
2549
*                            Final Report                               *
2550
=========================================================================
2551
Final Results
2552
RTL Top Level Output File Name     : ../implementation/system.ngr
2553
Top Level Output File Name         : ../implementation/system.ngc
2554
Output Format                      : ngc
2555
Optimization Goal                  : speed
2556
Keep Hierarchy                     : no
2557
 
2558
Design Statistics
2559
# IOs                              : 140
2560
 
2561
Cell Usage :
2562
# BELS                             : 2
2563
#      GND                         : 1
2564
#      VCC                         : 1
2565
# IO Buffers                       : 140
2566
#      IBUF                        : 5
2567
#      IBUFG                       : 1
2568
#      IOBUF                       : 88
2569
#      OBUF                        : 46
2570
# Others                           : 16
2571
#      clk90_inv_wrapper           : 1
2572
#      dcm_0_wrapper               : 1
2573
#      dcm_1_wrapper               : 1
2574
#      ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper: 1
2575
#      ddr_clk90_inv_wrapper       : 1
2576
#      debug_module_wrapper        : 1
2577
#      dlmb_cntlr_wrapper          : 1
2578
#      dlmb_wrapper                : 1
2579
#      ilmb_cntlr_wrapper          : 1
2580
#      ilmb_wrapper                : 1
2581
#      lmb_bram_wrapper            : 1
2582
#      mb_opb_wrapper              : 1
2583
#      microblaze_0_wrapper        : 1
2584
#      rs232_uart_1_wrapper        : 1
2585
#      sysace_compactflash_wrapper : 1
2586
#      sysclk_inv_wrapper          : 1
2587
=========================================================================
2588
 
2589
Device utilization summary:
2590
---------------------------
2591
 
2592
Selected Device : 2vp30ff896-7
2593
 
2594
 Number of bonded IOBs:                140  out of    556    25%
2595
 
2596
 
2597
=========================================================================
2598
TIMING REPORT
2599
 
2600
NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE.
2601
      FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT
2602
      GENERATED AFTER PLACE-and-ROUTE.
2603
 
2604
Clock Information:
2605
------------------
2606
No clock signals found in this design
2607
 
2608
Timing Summary:
2609
---------------
2610
Speed Grade: -7
2611
 
2612
   Minimum period: No path found
2613
   Minimum input arrival time before clock: No path found
2614
   Maximum output required time after clock: No path found
2615
   Maximum combinational path delay: 2.924ns
2616
 
2617
Timing Detail:
2618
--------------
2619
All values displayed in nanoseconds (ns)
2620
 
2621
=========================================================================
2622
Timing constraint: Default path analysis
2623
  Total number of paths / destination ports: 1594 / 1506
2624
-------------------------------------------------------------------------
2625
Delay:               2.924ns (Levels of Logic = 1)
2626
  Source:            ddr_256mb_32mx64_rank1_row13_col10_cl2_5:DDR_DQS_O<7> (PAD)
2627
  Destination:       fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQS_pin<7> (PAD)
2628
 
2629
  Data Path: ddr_256mb_32mx64_rank1_row13_col10_cl2_5:DDR_DQS_O<7> to fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQS_pin<7>
2630
                                Gate     Net
2631
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
2632
    ----------------------------------------  ------------
2633
    ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper:DDR_DQS_O<7>    1   0.000   0.332  ddr_256mb_32mx64_rank1_row13_col10_cl2_5 (fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQS_O<7>)
2634
     IOBUF:I->IO               2.592          iobuf_69 (fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQS_pin<7>)
2635
    ----------------------------------------
2636
    Total                      2.924ns (2.592ns logic, 0.332ns route)
2637
                                       (88.7% logic, 11.3% route)
2638
 
2639
=========================================================================
2640
CPU : 11.23 / 11.37 s | Elapsed : 11.00 / 11.00 s
2641
 
2642
-->
2643
 
2644
Total memory usage is 161848 kilobytes
2645
 
2646
Number of errors   :    0 (   0 filtered)
2647
Number of warnings :  144 (   0 filtered)
2648
Number of infos    :    0 (   0 filtered)
2649
 
2650
Copying Xilinx Implementation tool scripts..
2651
*********************************************
2652
Running Xilinx Implementation tools..
2653
*********************************************
2654
xflow -wd implementation -p xc2vp30ff896-7 -implement fast_runtime.opt system.ngc
2655
Release 7.1.02i - Xflow H.38
2656
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
2657
xflow.exe -wd implementation -p xc2vp30ff896-7 -implement fast_runtime.opt
2658
system.ngc
2659
 
2660
Using Flow File: D:/mb-jpeg/implementation/fpga.flw
2661
Using Option File(s):
2662
 D:/mb-jpeg/implementation/fast_runtime.opt
2663
 
2664
Creating Script File ...
2665
 
2666
#----------------------------------------------#
2667
# Starting program ngdbuild
2668
# ngdbuild -p xc2vp30ff896-7 -nt timestamp -bm system.bmm
2669
D:/mb-jpeg/implementation/system.ngc -uc system.ucf system.ngd
2670
#----------------------------------------------#
2671
Release 7.1.02i - ngdbuild H.42
2672
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
2673
 
2674
Command Line: ngdbuild -p xc2vp30ff896-7 -nt timestamp -bm system.bmm -uc
2675
system.ucf D:/mb-jpeg/implementation/system.ngc system.ngd
2676
 
2677
Reading NGO file 'D:/mb-jpeg/implementation/system.ngc' ...
2678
Loading design module "D:/mb-jpeg/implementation/microblaze_0_wrapper.ngc"...
2679
Loading design module "D:/mb-jpeg/implementation/mb_opb_wrapper.ngc"...
2680
Loading design module "D:/mb-jpeg/implementation/debug_module_wrapper.ngc"...
2681
Loading design module "D:/mb-jpeg/implementation/ilmb_wrapper.ngc"...
2682
Loading design module "D:/mb-jpeg/implementation/dlmb_wrapper.ngc"...
2683
Loading design module "D:/mb-jpeg/implementation/dlmb_cntlr_wrapper.ngc"...
2684
Loading design module "D:/mb-jpeg/implementation/ilmb_cntlr_wrapper.ngc"...
2685
Loading design module "D:/mb-jpeg/implementation/lmb_bram_wrapper.ngc"...
2686
Loading design module "D:/mb-jpeg/implementation/rs232_uart_1_wrapper.ngc"...
2687
Loading design module
2688
"D:/mb-jpeg/implementation/sysace_compactflash_wrapper.ngc"...
2689
Loading design module
2690
"D:/mb-jpeg/implementation/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper.ngc"
2691
...
2692
Loading design module "D:/mb-jpeg/implementation/sysclk_inv_wrapper.ngc"...
2693
Loading design module "D:/mb-jpeg/implementation/clk90_inv_wrapper.ngc"...
2694
Loading design module "D:/mb-jpeg/implementation/ddr_clk90_inv_wrapper.ngc"...
2695
Loading design module "D:/mb-jpeg/implementation/dcm_0_wrapper.ngc"...
2696
Loading design module "D:/mb-jpeg/implementation/dcm_1_wrapper.ngc"...
2697
 
2698
Applying constraints in "system.ucf" to the design...
2699
 
2700
Checking timing specifications ...
2701
INFO:XdmHelpers:851 - TNM "sys_clk_pin", used in period specification
2702
   "TS_sys_clk_pin", was traced into DCM instance "dcm_0/dcm_0/DCM_INST". The
2703
   following new TNM groups and period specifications were generated at the DCM
2704
   output(s):
2705
   CLK0: TS_dcm_0_dcm_0_CLK0_BUF=PERIOD dcm_0_dcm_0_CLK0_BUF
2706
TS_sys_clk_pin*1.000000 HIGH 50.000000%
2707
   CLK90: TS_dcm_0_dcm_0_CLK90_BUF=PERIOD dcm_0_dcm_0_CLK90_BUF
2708
TS_sys_clk_pin*1.000000 PHASE + 2.500000 nS HIGH 50.000000%
2709
 
2710
Processing BMM file ...
2711
 
2712
Checking expanded design ...
2713
WARNING:NgdBuild:443 - SFF primitive
2714
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2715
   cl2_5/DDR_CTRL_I/MW_RD_WR_I/ADDR_SEL_SYNC_FIFO_I/I_VAC_CALC/Counter_Bit_I0/FD
2716
   RE_I' has unconnected output pin
2717
WARNING:NgdBuild:443 - SFF primitive
2718
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2719
   cl2_5/DDR_CTRL_I/MW_RD_WR_I/ADDR_SEL_SYNC_FIFO_I/I_VAC_CALC/Counter_Bit_I4/FD
2720
   RE_I' has unconnected output pin
2721
WARNING:NgdBuild:443 - SFF primitive
2722
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2723
   cl2_5/DDR_CTRL_I/MW_RD_WR_I/ADDR_SEL_SYNC_FIFO_I/I_VAC_CALC/Counter_Bit_I3/FD
2724
   RE_I' has unconnected output pin
2725
WARNING:NgdBuild:443 - SFF primitive
2726
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2727
   cl2_5/DDR_CTRL_I/MW_RD_WR_I/ADDR_SEL_SYNC_FIFO_I/I_VAC_CALC/Counter_Bit_I2/FD
2728
   RE_I' has unconnected output pin
2729
WARNING:NgdBuild:443 - SFF primitive
2730
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2731
   cl2_5/DDR_CTRL_I/MW_RD_WR_I/ADDR_SEL_SYNC_FIFO_I/I_VAC_CALC/Counter_Bit_I1/FD
2732
   RE_I' has unconnected output pin
2733
WARNING:NgdBuild:443 - SFF primitive
2734
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2735
   cl2_5/DDR_CTRL_I/MW_RD_WR_I/ADDR_SEL_SYNC_FIFO_I/I_ADDR_CNTR/I_UP_DWN_COUNTER
2736
   /I_CARRY_OUT' has unconnected output pin
2737
WARNING:NgdBuild:440 - FF primitive
2738
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2739
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2740
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2741
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM0REG_SP/REG' has unconnected
2742
   output pin
2743
WARNING:NgdBuild:440 - FF primitive
2744
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2745
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2746
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2747
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM1REG_SP/REG' has unconnected
2748
   output pin
2749
WARNING:NgdBuild:440 - FF primitive
2750
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2751
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2752
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2753
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM2REG_SP/REG' has unconnected
2754
   output pin
2755
WARNING:NgdBuild:440 - FF primitive
2756
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2757
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2758
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2759
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM3REG_SP/REG' has unconnected
2760
   output pin
2761
WARNING:NgdBuild:440 - FF primitive
2762
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2763
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2764
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2765
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM4REG_SP/REG' has unconnected
2766
   output pin
2767
WARNING:NgdBuild:440 - FF primitive
2768
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2769
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2770
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_
2771
5_wrapper_async_fi
2772
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM5REG_SP/REG' has unconnected
2773
   output pin
2774
WARNING:NgdBuild:440 - FF primitive
2775
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2776
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2777
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2778
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM6REG_SP/REG' has unconnected
2779
   output pin
2780
WARNING:NgdBuild:440 - FF primitive
2781
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2782
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2783
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2784
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM7REG_SP/REG' has unconnected
2785
   output pin
2786
WARNING:NgdBuild:440 - FF primitive
2787
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2788
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2789
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2790
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM8REG_SP/REG' has unconnected
2791
   output pin
2792
WARNING:NgdBuild:440 - FF primitive
2793
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2794
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2795
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2796
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM9REG_SP/REG' has unconnected
2797
   output pin
2798
WARNING:NgdBuild:440 - FF primitive
2799
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2800
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2801
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2802
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM10REG_SP/REG' has unconnected
2803
   output pin
2804
WARNING:NgdBuild:440 - FF primitive
2805
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2806
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2807
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2808
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM11REG_SP/REG' has unconnected
2809
   output pin
2810
WARNING:NgdBuild:440 - FF primitive
2811
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2812
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2813
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2814
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM12REG_SP/REG' has unconnected
2815
   output pin
2816
WARNING:NgdBuild:440 - FF primitive
2817
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2818
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2819
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2820
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM13REG_SP/REG' has unconnected
2821
   output pin
2822
WARNING:NgdBuild:440 - FF primitive
2823
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2824
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2825
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2826
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM14REG_SP/REG' has unconnected
2827
   output pin
2828
WARNING:NgdBuild:440 - FF primitive
2829
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2830
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2831
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2832
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM15REG_SP/REG' has unconnected
2833
   output pin
2834
WARNING:NgdBuild:440 - FF primitive
2835
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2836
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2837
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2838
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM16REG_SP/REG' has unconnected
2839
   output p
2840
in
2841
WARNING:NgdBuild:440 - FF primitive
2842
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2843
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2844
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2845
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM17REG_SP/REG' has unconnected
2846
   output pin
2847
WARNING:NgdBuild:440 - FF primitive
2848
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2849
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2850
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2851
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM18REG_SP/REG' has unconnected
2852
   output pin
2853
WARNING:NgdBuild:440 - FF primitive
2854
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2855
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2856
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2857
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM19REG_SP/REG' has unconnected
2858
   output pin
2859
WARNING:NgdBuild:440 - FF primitive
2860
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2861
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2862
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2863
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM20REG_SP/REG' has unconnected
2864
   output pin
2865
WARNING:NgdBuild:440 - FF primitive
2866
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2867
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2868
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2869
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM21REG_SP/REG' has unconnected
2870
   output pin
2871
WARNING:NgdBuild:440 - FF primitive
2872
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2873
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2874
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2875
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM22REG_SP/REG' has unconnected
2876
   output pin
2877
WARNING:NgdBuild:440 - FF primitive
2878
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2879
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2880
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2881
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM23REG_SP/REG' has unconnected
2882
   output pin
2883
WARNING:NgdBuild:440 - FF primitive
2884
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2885
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2886
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2887
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM24REG_SP/REG' has unconnected
2888
   output pin
2889
WARNING:NgdBuild:440 - FF primitive
2890
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2891
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2892
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2893
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM25REG_SP/REG' has unconnected
2894
   output pin
2895
WARNING:NgdBuild:440 - FF primitive
2896
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2897
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2898
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2899
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM26REG_SP/REG' has unconnected
2900
   output pin
2901
WARNING:NgdBuild:440 - FF primitive
2902
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2903
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2904
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2905
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM27REG_SP/REG' has unconnected
2906
   outp
2907
ut pin
2908
WARNING:NgdBuild:440 - FF primitive
2909
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2910
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2911
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2912
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM28REG_SP/REG' has unconnected
2913
   output pin
2914
WARNING:NgdBuild:440 - FF primitive
2915
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2916
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2917
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2918
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM29REG_SP/REG' has unconnected
2919
   output pin
2920
WARNING:NgdBuild:440 - FF primitive
2921
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2922
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2923
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2924
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM30REG_SP/REG' has unconnected
2925
   output pin
2926
WARNING:NgdBuild:440 - FF primitive
2927
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2928
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2929
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2930
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM31REG_SP/REG' has unconnected
2931
   output pin
2932
WARNING:NgdBuild:440 - FF primitive
2933
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2934
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2935
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2936
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM32REG_SP/REG' has unconnected
2937
   output pin
2938
WARNING:NgdBuild:440 - FF primitive
2939
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2940
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2941
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2942
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM33REG_SP/REG' has unconnected
2943
   output pin
2944
WARNING:NgdBuild:440 - FF primitive
2945
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2946
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2947
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2948
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM34REG_SP/REG' has unconnected
2949
   output pin
2950
WARNING:NgdBuild:440 - FF primitive
2951
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2952
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2953
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2954
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM35REG_SP/REG' has unconnected
2955
   output pin
2956
WARNING:NgdBuild:440 - FF primitive
2957
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2958
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2959
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2960
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM36REG_SP/REG' has unconnected
2961
   output pin
2962
WARNING:NgdBuild:440 - FF primitive
2963
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2964
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2965
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2966
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM37REG_SP/REG' has unconnected
2967
   output pin
2968
WARNING:NgdBuild:440 - FF primitive
2969
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2970
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2971
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2972
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM38REG_SP/REG' has unconnected
2973
   outp
2974
ut pin
2975
WARNING:NgdBuild:440 - FF primitive
2976
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2977
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2978
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2979
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM39REG_SP/REG' has unconnected
2980
   output pin
2981
WARNING:NgdBuild:440 - FF primitive
2982
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2983
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2984
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2985
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM40REG_SP/REG' has unconnected
2986
   output pin
2987
WARNING:NgdBuild:440 - FF primitive
2988
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2989
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2990
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2991
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM41REG_SP/REG' has unconnected
2992
   output pin
2993
WARNING:NgdBuild:440 - FF primitive
2994
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
2995
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
2996
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
2997
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM42REG_SP/REG' has unconnected
2998
   output pin
2999
WARNING:NgdBuild:440 - FF primitive
3000
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3001
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3002
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3003
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM43REG_SP/REG' has unconnected
3004
   output pin
3005
WARNING:NgdBuild:440 - FF primitive
3006
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3007
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3008
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3009
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM44REG_SP/REG' has unconnected
3010
   output pin
3011
WARNING:NgdBuild:440 - FF primitive
3012
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3013
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3014
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3015
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM45REG_SP/REG' has unconnected
3016
   output pin
3017
WARNING:NgdBuild:440 - FF primitive
3018
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3019
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3020
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3021
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM46REG_SP/REG' has unconnected
3022
   output pin
3023
WARNING:NgdBuild:440 - FF primitive
3024
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3025
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3026
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3027
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM47REG_SP/REG' has unconnected
3028
   output pin
3029
WARNING:NgdBuild:440 - FF primitive
3030
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3031
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3032
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3033
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM48REG_SP/REG' has unconnected
3034
   output pin
3035
WARNING:NgdBuild:440 - FF primitive
3036
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3037
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3038
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3039
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM49REG_SP/REG' has unconnected
3040
   outp
3041
ut pin
3042
WARNING:NgdBuild:440 - FF primitive
3043
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3044
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3045
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3046
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM50REG_SP/REG' has unconnected
3047
   output pin
3048
WARNING:NgdBuild:440 - FF primitive
3049
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3050
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3051
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3052
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM51REG_SP/REG' has unconnected
3053
   output pin
3054
WARNING:NgdBuild:440 - FF primitive
3055
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3056
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3057
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3058
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM52REG_SP/REG' has unconnected
3059
   output pin
3060
WARNING:NgdBuild:440 - FF primitive
3061
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3062
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3063
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3064
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM53REG_SP/REG' has unconnected
3065
   output pin
3066
WARNING:NgdBuild:440 - FF primitive
3067
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3068
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3069
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3070
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM54REG_SP/REG' has unconnected
3071
   output pin
3072
WARNING:NgdBuild:440 - FF primitive
3073
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3074
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3075
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3076
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM55REG_SP/REG' has unconnected
3077
   output pin
3078
WARNING:NgdBuild:440 - FF primitive
3079
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3080
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3081
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3082
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM56REG_SP/REG' has unconnected
3083
   output pin
3084
WARNING:NgdBuild:440 - FF primitive
3085
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3086
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3087
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3088
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM57REG_SP/REG' has unconnected
3089
   output pin
3090
WARNING:NgdBuild:440 - FF primitive
3091
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3092
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3093
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3094
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM58REG_SP/REG' has unconnected
3095
   output pin
3096
WARNING:NgdBuild:440 - FF primitive
3097
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3098
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3099
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3100
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM59REG_SP/REG' has unconnected
3101
   output pin
3102
WARNING:NgdBuild:440 - FF primitive
3103
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3104
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3105
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3106
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM60REG_SP/REG' has unconnected
3107
   outp
3108
ut pin
3109
WARNING:NgdBuild:440 - FF primitive
3110
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3111
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3112
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3113
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM61REG_SP/REG' has unconnected
3114
   output pin
3115
WARNING:NgdBuild:440 - FF primitive
3116
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3117
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3118
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3119
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM62REG_SP/REG' has unconnected
3120
   output pin
3121
WARNING:NgdBuild:440 - FF primitive
3122
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3123
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3124
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3125
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM63REG_SP/REG' has unconnected
3126
   output pin
3127
WARNING:NgdBuild:440 - FF primitive
3128
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3129
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3130
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3131
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM64REG_SP/REG' has unconnected
3132
   output pin
3133
WARNING:NgdBuild:440 - FF primitive
3134
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3135
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3136
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3137
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM65REG_SP/REG' has unconnected
3138
   output pin
3139
WARNING:NgdBuild:440 - FF primitive
3140
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3141
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3142
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3143
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM66REG_SP/REG' has unconnected
3144
   output pin
3145
WARNING:NgdBuild:440 - FF primitive
3146
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3147
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3148
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3149
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM67REG_SP/REG' has unconnected
3150
   output pin
3151
WARNING:NgdBuild:440 - FF primitive
3152
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3153
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3154
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3155
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM68REG_SP/REG' has unconnected
3156
   output pin
3157
WARNING:NgdBuild:440 - FF primitive
3158
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3159
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3160
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3161
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM69REG_SP/REG' has unconnected
3162
   output pin
3163
WARNING:NgdBuild:440 - FF primitive
3164
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3165
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3166
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3167
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM70REG_SP/REG' has unconnected
3168
   output pin
3169
WARNING:NgdBuild:440 - FF primitive
3170
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3171
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3172
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3173
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM71REG_SP/REG' has unconnected
3174
   outp
3175
ut pin
3176
WARNING:NgdBuild:440 - FF primitive
3177
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3178
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3179
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3180
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM72REG_SP/REG' has unconnected
3181
   output pin
3182
WARNING:NgdBuild:440 - FF primitive
3183
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3184
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3185
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3186
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM73REG_SP/REG' has unconnected
3187
   output pin
3188
WARNING:NgdBuild:440 - FF primitive
3189
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3190
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3191
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3192
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM74REG_SP/REG' has unconnected
3193
   output pin
3194
WARNING:NgdBuild:440 - FF primitive
3195
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3196
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3197
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3198
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM75REG_SP/REG' has unconnected
3199
   output pin
3200
WARNING:NgdBuild:440 - FF primitive
3201
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3202
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3203
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3204
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM76REG_SP/REG' has unconnected
3205
   output pin
3206
WARNING:NgdBuild:440 - FF primitive
3207
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3208
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3209
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3210
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM77REG_SP/REG' has unconnected
3211
   output pin
3212
WARNING:NgdBuild:440 - FF primitive
3213
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3214
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3215
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3216
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM78REG_SP/REG' has unconnected
3217
   output pin
3218
WARNING:NgdBuild:440 - FF primitive
3219
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3220
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3221
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3222
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM79REG_SP/REG' has unconnected
3223
   output pin
3224
WARNING:NgdBuild:440 - FF primitive
3225
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3226
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3227
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3228
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM80REG_SP/REG' has unconnected
3229
   output pin
3230
WARNING:NgdBuild:440 - FF primitive
3231
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3232
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3233
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3234
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM81REG_SP/REG' has unconnected
3235
   output pin
3236
WARNING:NgdBuild:440 - FF primitive
3237
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3238
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3239
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3240
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM82REG_SP/REG' has unconnected
3241
   outp
3242
ut pin
3243
WARNING:NgdBuild:440 - FF primitive
3244
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3245
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3246
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3247
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM83REG_SP/REG' has unconnected
3248
   output pin
3249
WARNING:NgdBuild:440 - FF primitive
3250
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3251
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3252
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3253
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM84REG_SP/REG' has unconnected
3254
   output pin
3255
WARNING:NgdBuild:440 - FF primitive
3256
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3257
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3258
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3259
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM85REG_SP/REG' has unconnected
3260
   output pin
3261
WARNING:NgdBuild:440 - FF primitive
3262
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3263
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3264
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3265
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM86REG_SP/REG' has unconnected
3266
   output pin
3267
WARNING:NgdBuild:440 - FF primitive
3268
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3269
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3270
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3271
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM87REG_SP/REG' has unconnected
3272
   output pin
3273
WARNING:NgdBuild:440 - FF primitive
3274
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3275
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3276
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3277
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM88REG_SP/REG' has unconnected
3278
   output pin
3279
WARNING:NgdBuild:440 - FF primitive
3280
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3281
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3282
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3283
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM89REG_SP/REG' has unconnected
3284
   output pin
3285
WARNING:NgdBuild:440 - FF primitive
3286
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3287
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3288
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3289
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM90REG_SP/REG' has unconnected
3290
   output pin
3291
WARNING:NgdBuild:440 - FF primitive
3292
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3293
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3294
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3295
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM91REG_SP/REG' has unconnected
3296
   output pin
3297
WARNING:NgdBuild:440 - FF primitive
3298
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3299
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3300
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3301
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM92REG_SP/REG' has unconnected
3302
   output pin
3303
WARNING:NgdBuild:440 - FF primitive
3304
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3305
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3306
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3307
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM93REG_SP/REG' has unconnected
3308
   outp
3309
ut pin
3310
WARNING:NgdBuild:440 - FF primitive
3311
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3312
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3313
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3314
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM94REG_SP/REG' has unconnected
3315
   output pin
3316
WARNING:NgdBuild:440 - FF primitive
3317
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3318
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3319
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3320
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM95REG_SP/REG' has unconnected
3321
   output pin
3322
WARNING:NgdBuild:440 - FF primitive
3323
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3324
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3325
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3326
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM96REG_SP/REG' has unconnected
3327
   output pin
3328
WARNING:NgdBuild:440 - FF primitive
3329
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3330
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3331
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3332
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM97REG_SP/REG' has unconnected
3333
   output pin
3334
WARNING:NgdBuild:440 - FF primitive
3335
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3336
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3337
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3338
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM98REG_SP/REG' has unconnected
3339
   output pin
3340
WARNING:NgdBuild:440 - FF primitive
3341
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3342
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3343
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3344
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM99REG_SP/REG' has unconnected
3345
   output pin
3346
WARNING:NgdBuild:440 - FF primitive
3347
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3348
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3349
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3350
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM100REG_SP/REG' has unconnected
3351
   output pin
3352
WARNING:NgdBuild:440 - FF primitive
3353
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3354
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3355
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3356
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM101REG_SP/REG' has unconnected
3357
   output pin
3358
WARNING:NgdBuild:440 - FF primitive
3359
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3360
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3361
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3362
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM102REG_SP/REG' has unconnected
3363
   output pin
3364
WARNING:NgdBuild:440 - FF primitive
3365
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3366
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3367
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3368
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM103REG_SP/REG' has unconnected
3369
   output pin
3370
WARNING:NgdBuild:440 - FF primitive
3371
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3372
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3373
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3374
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM104REG_SP/REG' has unconnected
3375
 
3376
 output pin
3377
WARNING:NgdBuild:440 - FF primitive
3378
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3379
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3380
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3381
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM105REG_SP/REG' has unconnected
3382
   output pin
3383
WARNING:NgdBuild:440 - FF primitive
3384
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3385
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3386
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3387
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM106REG_SP/REG' has unconnected
3388
   output pin
3389
WARNING:NgdBuild:440 - FF primitive
3390
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3391
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3392
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3393
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM107REG_SP/REG' has unconnected
3394
   output pin
3395
WARNING:NgdBuild:440 - FF primitive
3396
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3397
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3398
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3399
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM108REG_SP/REG' has unconnected
3400
   output pin
3401
WARNING:NgdBuild:440 - FF primitive
3402
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3403
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3404
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3405
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM109REG_SP/REG' has unconnected
3406
   output pin
3407
WARNING:NgdBuild:440 - FF primitive
3408
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3409
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3410
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3411
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM110REG_SP/REG' has unconnected
3412
   output pin
3413
WARNING:NgdBuild:440 - FF primitive
3414
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3415
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3416
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3417
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM111REG_SP/REG' has unconnected
3418
   output pin
3419
WARNING:NgdBuild:440 - FF primitive
3420
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3421
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3422
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3423
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM112REG_SP/REG' has unconnected
3424
   output pin
3425
WARNING:NgdBuild:440 - FF primitive
3426
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3427
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3428
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3429
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM113REG_SP/REG' has unconnected
3430
   output pin
3431
WARNING:NgdBuild:440 - FF primitive
3432
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3433
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3434
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3435
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM114REG_SP/REG' has unconnected
3436
   output pin
3437
WARNING:NgdBuild:440 - FF primitive
3438
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3439
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3440
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3441
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM115REG_SP/REG' has unconnec
3442
ted
3443
   output pin
3444
WARNING:NgdBuild:440 - FF primitive
3445
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3446
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3447
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3448
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM116REG_SP/REG' has unconnected
3449
   output pin
3450
WARNING:NgdBuild:440 - FF primitive
3451
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3452
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3453
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3454
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM117REG_SP/REG' has unconnected
3455
   output pin
3456
WARNING:NgdBuild:440 - FF primitive
3457
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3458
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3459
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3460
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM118REG_SP/REG' has unconnected
3461
   output pin
3462
WARNING:NgdBuild:440 - FF primitive
3463
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3464
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3465
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3466
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM119REG_SP/REG' has unconnected
3467
   output pin
3468
WARNING:NgdBuild:440 - FF primitive
3469
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3470
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3471
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3472
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM120REG_SP/REG' has unconnected
3473
   output pin
3474
WARNING:NgdBuild:440 - FF primitive
3475
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3476
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3477
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3478
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM121REG_SP/REG' has unconnected
3479
   output pin
3480
WARNING:NgdBuild:440 - FF primitive
3481
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3482
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3483
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3484
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM122REG_SP/REG' has unconnected
3485
   output pin
3486
WARNING:NgdBuild:440 - FF primitive
3487
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3488
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3489
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3490
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM123REG_SP/REG' has unconnected
3491
   output pin
3492
WARNING:NgdBuild:440 - FF primitive
3493
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3494
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3495
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3496
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM124REG_SP/REG' has unconnected
3497
   output pin
3498
WARNING:NgdBuild:440 - FF primitive
3499
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3500
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3501
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3502
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM125REG_SP/REG' has unconnected
3503
   output pin
3504
WARNING:NgdBuild:440 - FF primitive
3505
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3506
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3507
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3508
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM126REG_SP/REG' has unconnec
3509
ted
3510
   output pin
3511
WARNING:NgdBuild:440 - FF primitive
3512
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3513
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3514
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3515
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM127REG_SP/REG' has unconnected
3516
   output pin
3517
WARNING:NgdBuild:440 - FF primitive
3518
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3519
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3520
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3521
   fo_v4_0/control/read_blk/read_cnt/empty_blk/empty_flag_logic/almst_flag_blk/m
3522
   odule_gen/2/carry_gen/skip_one/spacer_fdce' has unconnected output pin
3523
WARNING:NgdBuild:440 - FF primitive
3524
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
3525
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
3526
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
3527
   fo_v4_0/control/write_blk/write_cnt/full_blk/full_flag_logic/almst_flag_blk/m
3528
   odule_gen/2/carry_gen/skip_one/spacer_fdce' has unconnected output pin
3529
WARNING:NgdBuild:452 - logical net
3530
   'microblaze_0/microblaze_0/Data_Flow_I/word_r1_r2_unalignment' has no driver
3531
WARNING:NgdBuild:452 - logical net
3532
   'microblaze_0/microblaze_0/Data_Flow_I/word_r1_imm_unalignment' has no driver
3533
WARNING:NgdBuild:452 - logical net
3534
   'microblaze_0/microblaze_0/Data_Flow_I/halfword_unalignment' has no driver
3535
WARNING:NgdBuild:478 - clock net debug_module/bscan_drck1 with clock driver
3536
   debug_module/debug_module/BUFG_DRCK1 drives no clock pins
3537
 
3538
NGDBUILD Design Results Summary:
3539
  Number of errors:     0
3540
  Number of warnings: 140
3541
 
3542
Writing NGD file "system.ngd" ...
3543
 
3544
Writing NGDBUILD log file "system.bld"...
3545
 
3546
NGDBUILD done.
3547
 
3548
 
3549
 
3550
#----------------------------------------------#
3551
# Starting program map
3552
# map -o system_map.ncd -pr b system.ngd system.pcf
3553
#----------------------------------------------#
3554
Release 7.1.02i - Map H.42
3555
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
3556
Using target part "2vp30ff896-7".
3557
Mapping design into LUTs...
3558
Writing file system_map.ngm...
3559
Running directed packing...
3560
Running delay-based LUT packing...
3561
Running related packing...
3562
Writing design file "system_map.ncd"...
3563
 
3564
Design Summary:
3565
Number of errors:      0
3566
Number of warnings:    8
3567
Logic Utilization:
3568
  Number of Slice Flip Flops:       1,541 out of  27,392    5%
3569
  Number of 4 input LUTs:           1,804 out of  27,392    6%
3570
Logic Distribution:
3571
  Number of occupied Slices:        1,730 out of  13,696   12%
3572
  Number of Slices containing only related logic:   1,730 out of   1,730  100%
3573
  Number of Slices containing unrelated logic:          0 out of   1,730    0%
3574
        *See NOTES below for an explanation of the effects of unrelated logic
3575
Total Number 4 input LUTs:          2,502 out of  27,392    9%
3576
  Number used as logic:             1,804
3577
  Number used as a route-thru:         22
3578
  Number used for Dual Port RAMs:     512
3579
    (Two LUTs used per Dual Port RAM)
3580
  Number used as Shift registers:     164
3581
 
3582
  Number of bonded IOBs:              139 out of     556   25%
3583
    IOB Flip Flops:                   288
3584
    IOB Dual-Data Rate Flops:          87
3585
  Number of PPC405s:                   0 out of       2    0%
3586
  Number of Block RAMs:                32 out of     136   23%
3587
  Number of MULT18X18s:                 3 out of     136    2%
3588
  Number of GCLKs:                      5 out of      16   31%
3589
  Number of DCMs:                       2 out of       8   25%
3590
  Number of BSCANs:                     1 out of       1  100%
3591
  Number of GTs:                        0 out of       8    0%
3592
  Number of GT10s:                      0 out of       0    0%
3593
 
3594
   Number of RPM macros:            5
3595
Total equivalent gate count for design:  2,228,903
3596
Additional JTAG gate count for IOBs:  6,672
3597
Peak Memory Usage:  201 MB
3598
 
3599
NOTES:
3600
 
3601
   Related logic is defined as being logic that shares connectivity - e.g. two
3602
   LUTs are "related" if they share common inputs.  When assembling slices,
3603
   Map gives priority to combine logic that is related.  Doing so results in
3604
   the best timing performance.
3605
 
3606
   Unrelated logic shares no connectivity.  Map will only begin packing
3607
   unrelated logic into a slice once 99% of the slices are occupied through
3608
   related logic packing.
3609
 
3610
   Note that once logic distribution reaches the 99% level through related
3611
   logic packing, this does not mean the device is completely utilized.
3612
   Unrelated logic packing will then begin, continuing until all usable LUTs
3613
   and FFs are occupied.  Depending on your timing budget, increased levels of
3614
   unrelated logic packing may adversely affect the overall timing performance
3615
   of your design.
3616
 
3617
Mapping completed.
3618
See MAP report file "system_map.mrp" for details.
3619
 
3620
 
3621
 
3622
#----------------------------------------------#
3623
# Starting program par
3624
# par -w -ol high system_map.ncd system.ncd system.pcf
3625
#----------------------------------------------#
3626
Release 7.1.02i - par H.42
3627
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
3628
 
3629
 
3630
 
3631
Constraints file: system.pcf.
3632
WARNING:Par:331 - You are using an evaluation version of Xilinx Software. In 17
3633
   days, this program will not operate. For more information about this product,
3634
   please refer to the Evaluation Agreement, which was shipped to you along with
3635
   the Evaluation CDs.
3636
   To purchase an annual license for this software, please contact your local
3637
   Field Applications Engineer (FAE) or salesperson. If you have any questions,
3638
   or if we can assist in any way, please send an email to: eval@xilinx.com
3639
   Thank You!
3640
Loading device for application Rf_Device from file '2vp30.nph' in environment
3641
c:/Xilinx.
3642
   "system" is an NCD, version 3.1, device xc2vp30, package ff896, speed -7
3643
 
3644
Initializing temperature to 85.000 Celsius. (default - Range: -40.000 to 100.000
3645
Celsius)
3646
Initializing voltage to 1.500 Volts. (default - Range: 1.400 to 1.600 Volts)
3647
 
3648
Device speed data version:  "PRODUCTION 1.91 2005-07-22".
3649
 
3650
 
3651
Device Utilization Summary:
3652
 
3653
   Number of BSCANs                    1 out of 1     100%
3654
   Number of BUFGMUXs                  5 out of 16     31%
3655
   Number of DCMs                      2 out of 8      25%
3656
   Number of External IOBs           139 out of 556    25%
3657
      Number of LOCed IOBs           139 out of 139   100%
3658
 
3659
   Number of MULT18X18s                3 out of 136     2%
3660
   Number of RAMB16s                  32 out of 136    23%
3661
   Number of SLICEs                 1730 out of 13696  12%
3662
 
3663
 
3664
Overall effort level (-ol):   High (set by user)
3665
Placer effort level (-pl):    High (set by user)
3666
Placer cost table entry (-t): 1
3667
Router effort level (-rl):    High (set by user)
3668
 
3669
Starting initial Timing Analysis.  REAL time: 7 secs
3670
Finished initial Timing Analysis.  REAL time: 7 secs
3671
 
3672
 
3673
Starting Placer
3674
 
3675
Phase 1.1
3676
Phase 1.1 (Checksum:9c2fff) REAL time: 9 secs
3677
 
3678
Phase 2.31
3679
Phase 2.31 (Checksum:1312cfe) REAL time: 9 secs
3680
 
3681
WARNING:Place:414 - The input design contains local clock signal(s). To get the
3682
   better result, we recommend users run map with the "-timing" option set
3683
   before starting the placement.
3684
Phase 3.2
3685
.
3686
.....
3687
 
3688
 
3689
Phase 3.2 (Checksum:98de91) REAL time: 16 secs
3690
 
3691
Phase 4.30
3692
Phase 4.30 (Checksum:26259fc) REAL time: 16 secs
3693
 
3694
Phase 5.3
3695
Phase 5.3 (Checksum:2faf07b) REAL time: 17 secs
3696
 
3697
Phase 6.5
3698
Phase 6.5 (Checksum:39386fa) REAL time: 17 secs
3699
 
3700
Phase 7.8
3701
.........
3702
..............
3703
.......
3704
....
3705
................
3706
.......
3707
...
3708
.......
3709
Phase 7.8 (Checksum:f66cb1) REAL time: 29 secs
3710
 
3711
Phase 8.5
3712
Phase 8.5 (Checksum:4c4b3f8) REAL time: 29 secs
3713
 
3714
Phase 9.18
3715
Phase 9.18 (Checksum:55d4a77) REAL time: 36 secs
3716
 
3717
Phase 10.5
3718
Phase 10.5 (Checksum:5f5e0f6) REAL time: 36 secs
3719
 
3720
Phase 11.27
3721
Phase 11.27 (Checksum:68e7775) REAL time: 37 secs
3722
 
3723
Phase 12.24
3724
Phase 12.24 (Checksum:7270df4) REAL time: 37 secs
3725
Writing design to file system.ncd
3726
 
3727
Total REAL time to Placer completion: 40 secs
3728
Total CPU time to Placer completion: 38 secs
3729
 
3730
Starting Router
3731
Phase 1: 18351 unrouted;       REAL time: 51 secs
3732
Phase 2: 16336 unrouted;       REAL time: 52 secs
3733
Phase 3: 4547 unrouted;       REAL time: 55 secs
3734
Phase 4: 4547 unrouted; (20747)      REAL time: 56 secs
3735
 
3736
Phase 5: 4558 unrouted; (5758)      REAL time: 57 secs
3737
Phase 6: 4558 unrouted; (0)      REAL time: 58 secs
3738
Phase 7: 0 unrouted; (0)      REAL time: 1 mins 9 secs
3739
Phase 8: 0 unrouted; (0)      REAL time: 1 mins 12 secs
3740
 
3741
Total REAL time to Router completion: 1 mins 16 secs
3742
Total CPU time to Router completion: 1 mins 13 secs
3743
 
3744
Generating "PAR" statistics.
3745
 
3746
**************************
3747
Generating Clock Report
3748
**************************
3749
 
3750
+---------------------+--------------+------+------+------------+-------------+
3751
|        Clock Net    |   Resource   |Locked|Fanout|Net Skew(ns)|Max Delay(ns)|
3752
+---------------------+--------------+------+------+------------+-------------+
3753
|  dlmb_port_BRAM_Clk |     BUFGMUX5S| No   | 1254 |  0.280     |  1.257      |
3754
+---------------------+--------------+------+------+------------+-------------+
3755
|           DBG_CLK_s |     BUFGMUX4P| No   |  139 |  0.279     |  1.257      |
3756
+---------------------+--------------+------+------+------------+-------------+
3757
|        ddr_clk_90_s |     BUFGMUX3P| No   |  275 |  0.154     |  1.257      |
3758
+---------------------+--------------+------+------+------------+-------------+
3759
|            clk_90_s |     BUFGMUX0P| No   |   38 |  0.140     |  1.257      |
3760
+---------------------+--------------+------+------+------------+-------------+
3761
|fpga_0_SysACE_Compac |              |      |      |            |             |
3762
|   tFlash_SysACE_CLK |         Local|      |   65 |  0.276     |  2.478      |
3763
+---------------------+--------------+------+------+------------+-------------+
3764
|debug_module/bscan_u |              |      |      |            |             |
3765
|               pdate |         Local|      |    1 |  0.000     |  0.356      |
3766
+---------------------+--------------+------+------+------------+-------------+
3767
 
3768
Timing Score: 0
3769
Asterisk (*) preceding a constraint indicates it was not met.
3770
   This may be due to a setup or hold violation.
3771
 
3772
--------------------------------------------------------------------------------
3773
  Constraint                                | Requested  | Actual     | Logic
3774
                                            |            |            | Levels
3775
--------------------------------------------------------------------------------
3776
  NET "fpga_0_SysACE_CompactFlash_SysACE_CL | 30.000ns   | 5.134ns    | 2
3777
  K" PERIOD = 30 ns HIGH 50%                |            |            |
3778
--------------------------------------------------------------------------------
3779
  TSCLK2CLK90_DDR_256MB_32MX64_rank1_row13_ | 2.500ns    | 2.171ns    | 0
3780
  col10_cl2_5 = MAXDELAY FROM TIMEGRP       |            |            |
3781
     "OPB_Clk_DDR_256MB_32MX64_rank1_row13_ |            |            |
3782
  col10_cl2_5" TO TIMEGRP         "Device_C |            |            |
3783
  lk90_in_DDR_256MB_32MX64_rank1_row13_col1 |            |            |
3784
  0_cl2_5" 2.5 ns                           |            |            |
3785
--------------------------------------------------------------------------------
3786
  TS_sys_clk_pin = PERIOD TIMEGRP "sys_clk_ | N/A        | N/A        | N/A
3787
  pin" 10 ns HIGH 50%                       |            |            |
3788
--------------------------------------------------------------------------------
3789
  TS_dcm_0_dcm_0_CLK0_BUF = PERIOD TIMEGRP  | 10.000ns   | 9.813ns    | 10
3790
  "dcm_0_dcm_0_CLK0_BUF" TS_sys_clk_pin     |            |            |
3791
       HIGH 50%                             |            |            |
3792
--------------------------------------------------------------------------------
3793
  TS_dcm_0_dcm_0_CLK90_BUF = PERIOD TIMEGRP | 10.000ns   | 5.846ns    | 0
3794
   "dcm_0_dcm_0_CLK90_BUF"         TS_sys_c |            |            |
3795
  lk_pin PHASE 2.5 ns HIGH 50%              |            |            |
3796
--------------------------------------------------------------------------------
3797
 
3798
 
3799
All constraints were met.
3800
INFO:Timing:2761 - N/A entries in the Constraints list may indicate that the
3801
   constraint does not cover any paths or that it has no requested value.
3802
Generating Pad Report.
3803
 
3804
All signals are completely routed.
3805
 
3806
Total REAL time to PAR completion: 1 mins 19 secs
3807
Total CPU time to PAR completion: 1 mins 16 secs
3808
 
3809
Peak Memory Usage:  242 MB
3810
 
3811
Placement: Completed - No errors found.
3812
Routing: Completed - No errors found.
3813
Timing: Completed - No errors found.
3814
 
3815
Number of error messages: 0
3816
Number of warning messages: 2
3817
Number of info messages: 0
3818
 
3819
Writing design to file system.ncd
3820
 
3821
 
3822
PAR done!
3823
 
3824
 
3825
 
3826
#----------------------------------------------#
3827
# Starting program post_par_trce
3828
# trce -e 3 -xml system.twx system.ncd system.pcf
3829
#----------------------------------------------#
3830
Release 7.1.02i - Trace H.42
3831
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
3832
 
3833
 
3834
Loading device for application Rf_Device from file '2vp30.nph' in environment
3835
c:/Xilinx.
3836
   "system" is an NCD, version 3.1, device xc2vp30, package ff896, speed -7
3837
--------------------------------------------------------------------------------
3838
Release 7.1.02i Trace H.42
3839
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
3840
 
3841
trce -e 3 -xml system.twx system.ncd system.pcf
3842
 
3843
 
3844
Design file:              system.ncd
3845
Physical constraint file: system.pcf
3846
Device,speed:             xc2vp30,-7 (PRODUCTION 1.91 2005-07-22)
3847
Report level:             error report
3848
--------------------------------------------------------------------------------
3849
 
3850
INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths
3851
   option. All paths that are not constrained will be reported in the
3852
   unconstrained paths section(s) of the report.
3853
 
3854
 
3855
Timing summary:
3856
---------------
3857
 
3858
Timing errors: 0  Score: 0
3859
 
3860
Constraints cover 299723 paths, 0 nets, and 14046 connections
3861
 
3862
Design statistics:
3863
   Minimum period:   9.813ns (Maximum frequency: 101.906MHz)
3864
   Maximum path delay from/to any node:   2.171ns
3865
 
3866
 
3867
Analysis completed Wed Nov 01 19:13:31 2006
3868
--------------------------------------------------------------------------------
3869
 
3870
Generating Report ...
3871
 
3872
Number of warnings: 0
3873
Number of info messages: 1
3874
Total time: 9 secs
3875
 
3876
 
3877
xflow done!
3878
cd implementation; bitgen -w -f bitgen.ut system
3879
Release 7.1.02i - Bitgen H.42
3880
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
3881
Loading device for application Rf_Device from file '2vp30.nph' in environment
3882
c:/Xilinx.
3883
   "system" is an NCD, version 3.1, device xc2vp30, package ff896, speed -7
3884
Opened constraints file system.pcf.
3885
 
3886
Wed Nov 01 19:13:36 2006
3887
Running DRC.
3888
WARNING:PhysDesignRules:367 - The signal  is
3889
   incomplete. The signal does not drive any load pins in the design.
3890
DRC detected 0 errors and 1 warnings.
3891
Creating bit map...
3892
Saving bit stream in "system.bit".
3893
Creating bit mask...
3894
Saving mask bit stream in "system.msk".
3895
Bitstream generation is complete.
3896
*********************************************
3897
Creating software libraries...
3898
*********************************************
3899
libgen -mhs system.mhs -p xc2vp30ff896-7 -lp D:/XilinxXUP/lib/  system.mss
3900
libgen
3901
Xilinx EDK 7.1.2 Build EDK_H.12.5.1
3902
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
3903
 
3904
Command Line: libgen -mhs system.mhs -p xc2vp30ff896-7 -lp D:/XilinxXUP/lib/
3905
system.mss
3906
Output Directory (-od)          : D:\mb-jpeg\
3907
Part (-p)                       : virtex2p
3908
 
3909
Software Specification file     : system.mss
3910
Sourcing tcl file
3911
C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0.
3912
tcl ...
3913
Sourcing tcl file
3914
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl
3915
...
3916
Sourcing tcl file
3917
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if
3918
_cntlr_v2_1_0.tcl ...
3919
Sourcing tcl file
3920
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0.
3921
tcl ...
3922
Sourcing tcl file
3923
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_ddr_v2_00_b/data/opb_ddr_v2_1_0.tcl
3924
...
3925
 
3926
Overriding IP level properties ...
3927
microblaze (microblaze_0) -
3928
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
3929
mpd:60 - tool overriding c_family value virtex2 to virtex2p
3930
microblaze (microblaze_0) -
3931
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
3932
mpd:61 - tool overriding c_instance value microblaze to microblaze_0
3933
microblaze (microblaze_0) -
3934
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
3935
mpd:90 - tcl overriding C_ADDR_TAG_BITS value 17 to 0
3936
microblaze (microblaze_0) -
3937
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
3938
mpd:97 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0
3939
opb_mdm (debug_module) -
3940
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd:38
3941
- tool overriding c_family value virtex2 to virtex2p
3942
bram_block (lmb_bram) -
3943
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
3944
mpd:39 - tool overriding c_family value virtex2 to virtex2p
3945
opb_ddr (ddr_256mb_32mx64_rank1_row13_col10_cl2_5) -
3946
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_ddr_v2_00_b\data\opb_ddr_v2_1_0.mpd:42
3947
- tool overriding c_family value virtex2 to virtex2p
3948
dcm_module (dcm_0) -
3949
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
3950
mpd:56 - tool overriding c_family value virtex2 to virtex2p
3951
dcm_module (dcm_1) -
3952
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
3953
mpd:56 - tool overriding c_family value virtex2 to virtex2p
3954
 
3955
Performing IP level DRCs on properties...
3956
 
3957
Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC...
3958
Address Map for Processor microblaze_0
3959
  (0x00000000-0x0000ffff) dlmb_cntlr    dlmb
3960
  (0x00000000-0x0000ffff) ilmb_cntlr    ilmb
3961
  (0x40600000-0x4060ffff) RS232_Uart_1  mb_opb
3962
  (0x41400000-0x4140ffff) debug_module  mb_opb
3963
  (0x41800000-0x4180ffff) SysACE_CompactFlash   mb_opb
3964
  (0x70000000-0x7fffffff) DDR_256MB_32MX64_rank1_row13_col10_cl2_5      mb_opb
3965
 
3966
Check platform configuration ...
3967
opb_v20 (mb_opb) - D:\mb-jpeg\system.mhs:68 - 2 master(s) : 4 slave(s)
3968
lmb_v10 (ilmb) - D:\mb-jpeg\system.mhs:94 - 1 master(s) : 1 slave(s)
3969
lmb_v10 (dlmb) - D:\mb-jpeg\system.mhs:102 - 1 master(s) : 1 slave(s)
3970
 
3971
Check port drivers...
3972
 
3973
Check platform address map ...
3974
 
3975
Overriding system level properties ...
3976
opb_v20 (mb_opb) -
3977
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd:36
3978
- tool overriding c_num_masters value 4 to 2
3979
lmb_v10 (ilmb) -
3980
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd:36
3981
- tool overriding c_lmb_num_slaves value 4 to 1
3982
lmb_v10 (dlmb) -
3983
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd:36
3984
- tool overriding c_lmb_num_slaves value 4 to 1
3985
lmb_bram_if_cntlr (dlmb_cntlr) -
3986
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
3987
_cntlr_v2_1_0.mpd:42 - tool overriding c_mask value 0x00800000 to 0x10c00000
3988
lmb_bram_if_cntlr (ilmb_cntlr) -
3989
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
3990
_cntlr_v2_1_0.mpd:42 - tool overriding c_mask value 0x00800000 to 0x10c00000
3991
bram_block (lmb_bram) -
3992
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
3993
mpd:35 - tool overriding c_memsize value 2048 to 65536
3994
 
3995
Running DRC Tcl procedures for OPTION SYSLEVEL_DRC_PROC...
3996
Sourcing tcl file
3997
C:/EDK/hw/XilinxProcessorIPLib/pcores/ddr_v2_00_b/data/ddr_v2_1_0.tcl ...
3998
 
3999
Performing System level DRCs on properties...
4000
WARNING:MDT - Peripheral sysclk_inv is not connected to any of the processors in
4001
   the system. Check for the following reasons.
4002
   1. sysclk_inv is not connected to any of the buses connected to a processor.
4003
   2. sysclk_inv does not have adresses set correctly.
4004
   3. sysclk_inv's address is not within any of the bridge windows connected to
4005
   a processor.
4006
WARNING:MDT - Peripheral clk90_inv is not connected to any of the processors in
4007
   the system. Check for the following reasons.
4008
   1. clk90_inv is not connected to any of the buses connected to a processor.
4009
   2. clk90_inv does not have adresses set correctly.
4010
   3. clk90_inv's address is not within any of the bridge windows connected to a
4011
   processor.
4012
WARNING:MDT - Peripheral ddr_clk90_inv is not connected to any of the processors
4013
   in the system. Check for the following reasons.
4014
   1. ddr_clk90_inv is not connected to any of the buses connected to a
4015
   processor.
4016
   2. ddr_clk90_inv does not have adresses set correctly.
4017
   3. ddr_clk90_inv's address is not within any of the bridge windows connected
4018
   to a processor.
4019
WARNING:MDT - Peripheral dcm_0 is not connected to any of the processors in the
4020
   system. Check for the following reasons.
4021
   1. dcm_0 is not connected to any of the buses connected to a processor.
4022
   2. dcm_0 does not have adresses set correctly.
4023
   3. dcm_0's address is not within any of the bridge windows connected to a
4024
   processor.
4025
WARNING:MDT - Peripheral dcm_1 is not connected to any of the processors in the
4026
   system. Check for the following reasons.
4027
   1. dcm_1 is not connected to any of the buses connected to a processor.
4028
   2. dcm_1 does not have adresses set correctly.
4029
   3. dcm_1's address is not within any of the bridge windows connected to a
4030
   processor.
4031
INFO:MDT - List of peripherals addressable from processor instance microblaze_0
4032
   :
4033
  - dlmb_cntlr
4034
  - ilmb_cntlr
4035
  - debug_module
4036
  - RS232_Uart_1
4037
  - SysACE_CompactFlash
4038
  - DDR_256MB_32MX64_rank1_row13_col10_cl2_5
4039
 
4040
Building Directory Structure for microblaze_0
4041
 
4042
Generating platform libraries and device drivers ...
4043
 
4044
Running CopyFiles ...
4045
 
4046
Copying files for os standalone_v1_00_a from
4047
C:\EDK\sw\lib\bsp\standalone_v1_00_a\src\ to
4048
D:\mb-jpeg\microblaze_0\libsrc\standalone_v1_00_a\ ...
4049
 
4050
Copying files for driver uartlite_v1_00_b from
4051
C:\EDK\sw\XilinxProcessorIPLib\drivers\uartlite_v1_00_b\src\ to
4052
D:\mb-jpeg\microblaze_0\libsrc\uartlite_v1_00_b\ ...
4053
 
4054
Copying files for driver sysace_v1_00_a from
4055
C:\EDK\sw\XilinxProcessorIPLib\drivers\sysace_v1_00_a\src\ to
4056
D:\mb-jpeg\microblaze_0\libsrc\sysace_v1_00_a\ ...
4057
 
4058
Copying files for driver cpu_v1_00_a from
4059
C:\EDK\sw\XilinxProcessorIPLib\drivers\cpu_v1_00_a\src\ to
4060
D:\mb-jpeg\microblaze_0\libsrc\cpu_v1_00_a\ ...
4061
 
4062
Copying files for library xilfatfs_v1_00_a from
4063
C:\EDK\sw\lib\sw_services\xilfatfs_v1_00_a\src\ to
4064
D:\mb-jpeg\microblaze_0\libsrc\xilfatfs_v1_00_a\ ...
4065
 
4066
Running DRCs for OSes, Drivers and Libraries ...
4067
 
4068
Running generate for OS'es, Drivers and Libraries ...
4069
Copying Library Files ...
4070
 
4071
Running post_generate for OS'es, Drivers and Libraries ...
4072
 
4073
Running make for Drivers and Libraries ...
4074
 
4075
Configuring make for target include using:
4076
 
4077
make -s include "COMPILER=mb-gcc" "ARCHIVER=mb-ar"
4078
"COMPILER_FLAGS=-mno-xl-soft-mul  -O2 -c" "EXTRA_COMPILER_FLAGS=-g"
4079
 
4080
Configuring make for target libs using:
4081
 
4082
make -s libs "COMPILER=mb-gcc" "ARCHIVER=mb-ar"
4083
"COMPILER_FLAGS=-mno-xl-soft-mul  -O2 -c" "EXTRA_COMPILER_FLAGS=-g"
4084
Compiling commo
4085
Compiling  microblaze_disable_dcache.
4086
Compiling  microblaze_disable_exceptions.
4087
Compiling  microblaze_disable_icache.
4088
Compiling  microblaze_disable_interrupts.
4089
Compiling  microblaze_enable_dcache.
4090
Compiling  microblaze_enable_exceptions.
4091
Compiling  microblaze_enable_icache.
4092
Compiling  microblaze_enable_interrupts.
4093
Compiling  microblaze_init_dcache_range.
4094
Compiling  microblaze_init_icache_range.
4095
Compiling  microblaze_update_dcache.
4096
Compiling  microblaze_update_icache.
4097
Compiling  inbyte.
4098
Compiling  microblaze_exception_handler.
4099
Compiling  microblaze_exceptions_g.
4100
Compiling  microblaze_interrupt_handler.
4101
Compiling  microblaze_interrupts_g.
4102
Compiling  outbyte.
4103
Compiling  hw_exception_handler.
4104
Compiling  src/xilfatfs_alloc.
4105
Compiling  src/xilfatfs_close.
4106
Compiling  src/xilfatfs_directory.
4107
Compiling  src/xilfatfs_fat.
4108
Compiling  src/xilfatfs_fat16.
4109
Compiling  src/xilfatfs_fat32.
4110
Compiling  src/xilfatfs_filespec.
4111
Compiling  src/xilfatfs_filestatus.
4112
Compiling  src/xilfatfs_open.
4113
Compiling  src/xilfatfs_part.
4114
Compiling  src/xilfatfs_read.
4115
Compiling  src/xilfatfs_wd.
4116
Compiling  src/xilfatfs_stats.
4117
Compiling  src/xilfatfs_bufcache.
4118
Compiling  src/xilfatfs_write.
4119
Compiling  src/xilfatfs_sysace.
4120
make clea
4121
Compiling uartlit
4122
Compiling sysac
4123
Compiling cp
4124
 
4125
Libraries generated in D:\mb-jpeg\microblaze_0\lib\ directory
4126
 
4127
Running execs_generate for OS'es, Drivers and Libraries ...
4128
 
4129
LibGen Done.
4130
mb-gcc -O2 mb-bmp2jpg/bmp2jpg.c mb-bmp2jpg/ColorConversion.c mb-bmp2jpg/dct.c mb-bmp2jpg/huffman.c mb-bmp2jpg/jpeg.c mb-bmp2jpg/xupv2p.c mb-bmp2jpg/zzq.c  -o mb-bmp2jpg/executable.elf \
4131
   -mno-xl-soft-mul     -Wl,-T -Wl,mb-bmp2jpg_linker_script  -g   -I./microblaze_0/include/  -Imb-bmp2jpg/  -L./microblaze_0/lib/  \
4132
-xl-mode-executable  \
4133
-D__XUPV2P
4134
mb-bmp2jpg/huffman.c: In function `HuffmanEncodeFinishSend':
4135
mb-bmp2jpg/huffman.c:290: warning: comparison is always true due to limited range of data type
4136
/cygdrive/c/EDK/gnu/microblaze/nt/bin/../lib/gcc/microblaze/3.4.1/../../../../microblaze/bin/ld.real: region ilmb_cntlr_dlmb_cntlr is full (mb-bmp2jpg/executable.elf section .text)
4137
/cygdrive/c/EDK/gnu/microblaze/nt/bin/../lib/gcc/microblaze/3.4.1/../../../../microblaze/bin/ld.real: region ilmb_cntlr_dlmb_cntlr is full (mb-bmp2jpg/executable.elf section .data)
4138
/cygdrive/c/EDK/gnu/microblaze/nt/bin/../lib/gcc/microblaze/3.4.1/../../../../microblaze/bin/ld.real: region ilmb_cntlr_dlmb_cntlr is full (mb-bmp2jpg/executable.elf section .text)
4139
/cygdrive/c/EDK/gnu/microblaze/nt/bin/../lib/gcc/microblaze/3.4.1/../../../../microblaze/bin/ld.real: region ilmb_cntlr_dlmb_cntlr is full (mb-bmp2jpg/executable.elf section .data)
4140
/cygdrive/c/EDK/gnu/microblaze/nt/bin/../lib/gcc/microblaze/3.4.1/../../../../microblaze/bin/ld.real: section .rodata [00000000 -> 00000657] overlaps section .text [00000000 -> 000118ab]
4141
/cygdrive/c/EDK/gnu/microblaze/nt/bin/../lib/gcc/microblaze/3.4.1/../../../../microblaze/bin/ld.real: section .sdata2 [00000658 -> 00000937] overlaps section .text [00000000 -> 000118ab]
4142
/cygdrive/c/EDK/gnu/microblaze/nt/bin/../lib/gcc/microblaze/3.4.1/../../../../microblaze/bin/ld.real: section .data [00000938 -> 00002077] overlaps section .text [00000000 -> 000118ab]
4143
collect2: ld returned 1 exit status
4144
make: *** [mb-bmp2jpg/executable.elf] Error 1
4145
Done.
4146
At Local date and time: Wed Nov 01 19:15:16 2006
4147
Command xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" Started...
4148
mb-gcc -O2 mb-bmp2jpg/bmp2jpg.c mb-bmp2jpg/ColorConversion.c mb-bmp2jpg/dct.c mb-bmp2jpg/huffman.c mb-bmp2jpg/jpeg.c mb-bmp2jpg/xupv2p.c mb-bmp2jpg/zzq.c  -o mb-bmp2jpg/executable.elf \
4149
   -mno-xl-soft-mul     -Wl,-T -Wl,mb-bmp2jpg_linker_script  -g   -I./microblaze_0/include/  -Imb-bmp2jpg/  -L./microblaze_0/lib/  \
4150
-xl-mode-executable  \
4151
-D__XUPV2P
4152
mb-bmp2jpg/huffman.c: In function `HuffmanEncodeFinishSend':
4153
mb-bmp2jpg/huffman.c:290: warning: comparison is always true due to limited range of data type
4154
/cygdrive/c/EDK/gnu/microblaze/nt/bin/../lib/gcc/microblaze/3.4.1/../../../../microblaze/bin/ld.real: region ilmb_cntlr_dlmb_cntlr is full (mb-bmp2jpg/executable.elf section .text)
4155
/cygdrive/c/EDK/gnu/microblaze/nt/bin/../lib/gcc/microblaze/3.4.1/../../../../microblaze/bin/ld.real: region ilmb_cntlr_dlmb_cntlr is full (mb-bmp2jpg/executable.elf section .data)
4156
/cygdrive/c/EDK/gnu/microblaze/nt/bin/../lib/gcc/microblaze/3.4.1/../../../../microblaze/bin/ld.real: region ilmb_cntlr_dlmb_cntlr is full (mb-bmp2jpg/executable.elf section .text)
4157
/cygdrive/c/EDK/gnu/microblaze/nt/bin/../lib/gcc/microblaze/3.4.1/../../../../microblaze/bin/ld.real: region ilmb_cntlr_dlmb_cntlr is full (mb-bmp2jpg/executable.elf section .data)
4158
/cygdrive/c/EDK/gnu/microblaze/nt/bin/../lib/gcc/microblaze/3.4.1/../../../../microblaze/bin/ld.real: section .rodata [00000000 -> 00000657] overlaps section .text [00000000 -> 000118ab]
4159
/cygdrive/c/EDK/gnu/microblaze/nt/bin/../lib/gcc/microblaze/3.4.1/../../../../microblaze/bin/ld.real: section .sdata2 [00000658 -> 00000937] overlaps section .text [00000000 -> 000118ab]
4160
/cygdrive/c/EDK/gnu/microblaze/nt/bin/../lib/gcc/microblaze/3.4.1/../../../../microblaze/bin/ld.real: section .data [00000938 -> 00002077] overlaps section .text [00000000 -> 000118ab]
4161
collect2: ld returned 1 exit status
4162
make: *** [mb-bmp2jpg/executable.elf] Error 1
4163
Done.
4164
At Local date and time: Wed Nov 01 19:15:23 2006
4165
Command xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make bits; exit;" Started...
4166
make: Nothing to be done for `bits'.
4167
Done.
4168
Linker Script generated successfully.
4169
At Local date and time: Wed Nov 01 19:17:12 2006
4170
Command xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" Started...
4171
mb-gcc -O2 mb-bmp2jpg/bmp2jpg.c mb-bmp2jpg/ColorConversion.c mb-bmp2jpg/dct.c mb-bmp2jpg/huffman.c mb-bmp2jpg/jpeg.c mb-bmp2jpg/xupv2p.c mb-bmp2jpg/zzq.c  -o mb-bmp2jpg/executable.elf \
4172
   -mno-xl-soft-mul     -Wl,-T -Wl,mb-bmp2jpg_linker_script  -g   -I./microblaze_0/include/  -Imb-bmp2jpg/  -L./microblaze_0/lib/  \
4173
-xl-mode-executable  \
4174
-D__XUPV2P
4175
mb-bmp2jpg/huffman.c: In function `HuffmanEncodeFinishSend':
4176
mb-bmp2jpg/huffman.c:290: warning: comparison is always true due to limited range of data type
4177
/cygdrive/c/EDK/gnu/microblaze/nt/bin/../lib/gcc/microblaze/3.4.1/../../../../microblaze/bin/ld.real: region ilmb_cntlr_dlmb_cntlr is full (mb-bmp2jpg/executable.elf section .text)
4178
/cygdrive/c/EDK/gnu/microblaze/nt/bin/../lib/gcc/microblaze/3.4.1/../../../../microblaze/bin/ld.real: region ilmb_cntlr_dlmb_cntlr is full (mb-bmp2jpg/executable.elf section .text)
4179
/cygdrive/c/EDK/gnu/microblaze/nt/bin/../lib/gcc/microblaze/3.4.1/../../../../microblaze/bin/ld.real: section .rodata [00000000 -> 00000657] overlaps section .text [00000000 -> 000118a3]
4180
/cygdrive/c/EDK/gnu/microblaze/nt/bin/../lib/gcc/microblaze/3.4.1/../../../../microblaze/bin/ld.real: section .sdata2 [00000658 -> 00000937] overlaps section .text [00000000 -> 000118a3]
4181
/cygdrive/c/EDK/gnu/microblaze/nt/bin/../lib/gcc/microblaze/3.4.1/../../../../microblaze/bin/ld.real: section .data [00000938 -> 00002077] overlaps section .text [00000000 -> 000118a3]
4182
collect2: ld returned 1 exit status
4183
make: *** [mb-bmp2jpg/executable.elf] Error 1
4184
Done.
4185
 
4186
Saving MSS changes, if any.
4187
 
4188
Loading Project File..
4189
 
4190
Saving MSS changes, if any.
4191
 
4192
Loading Project File..
4193
At Local date and time: Wed Nov 01 19:19:54 2006
4194
Command xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" Started...
4195
*********************************************
4196
Creating software libraries...
4197
*********************************************
4198
libgen -mhs system.mhs -p xc2vp30ff896-7 -lp D:/XilinxXUP/lib/  system.mss
4199
libgen
4200
Xilinx EDK 7.1.2 Build EDK_H.12.5.1
4201
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
4202
 
4203
Command Line: libgen -mhs system.mhs -p xc2vp30ff896-7 -lp D:/XilinxXUP/lib/
4204
system.mss
4205
 
4206
Output Directory (-od)          : D:\mb-jpeg\
4207
Part (-p)                       : virtex2p
4208
 
4209
Software Specification file     : system.mss
4210
Sourcing tcl file
4211
C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0.
4212
tcl ...
4213
Sourcing tcl file
4214
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl
4215
...
4216
Sourcing tcl file
4217
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if
4218
_cntlr_v2_1_0.tcl ...
4219
Sourcing tcl file
4220
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0.
4221
tcl ...
4222
Sourcing tcl file
4223
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_ddr_v2_00_b/data/opb_ddr_v2_1_0.tcl
4224
...
4225
 
4226
Overriding IP level properties ...
4227
microblaze (microblaze_0) -
4228
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
4229
mpd:60 - tool overriding c_family value virtex2 to virtex2p
4230
microblaze (microblaze_0) -
4231
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
4232
mpd:61 - tool overriding c_instance value microblaze to microblaze_0
4233
microblaze (microblaze_0) -
4234
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
4235
mpd:90 - tcl overriding C_ADDR_TAG_BITS value 17 to 0
4236
microblaze (microblaze_0) -
4237
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
4238
mpd:97 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0
4239
opb_mdm (debug_module) -
4240
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd:38
4241
- tool overriding c_family value virtex2 to virtex2p
4242
bram_block (lmb_bram) -
4243
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
4244
mpd:39 - tool overriding c_family value virtex2 to virtex2p
4245
opb_ddr (ddr_256mb_32mx64_rank1_row13_col10_cl2_5) -
4246
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_ddr_v2_00_b\data\opb_ddr_v2_1_0.mpd:42
4247
- tool overriding c_family value virtex2 to virtex2p
4248
dcm_module (dcm_0) -
4249
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
4250
mpd:56 - tool overriding c_family value virtex2 to virtex2p
4251
dcm_module (dcm_1) -
4252
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
4253
mpd:56 - tool overriding c_family value virtex2 to virtex2p
4254
 
4255
Performing IP level DRCs on properties...
4256
 
4257
Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC...
4258
Address Map for Processor microblaze_0
4259
  (0x00000000-0x0000ffff) dlmb_cntlr    dlmb
4260
  (0x00000000-0x0000ffff) ilmb_cntlr    ilmb
4261
  (0x30000000-0x3fffffff) DDR_256MB_32MX64_rank1_row13_col10_cl2_5      mb_opb
4262
  (0x40600000-0x4060ffff) RS232_Uart_1  mb_opb
4263
  (0x41400000-0x4140ffff) debug_module  mb_opb
4264
  (0x41800000-0x4180ffff) SysACE_CompactFlash   mb_opb
4265
 
4266
Check platform configuration ...
4267
opb_v20 (mb_opb) - D:\mb-jpeg\system.mhs:68 - 2 master(s) : 4 slave(s)
4268
lmb_v10 (ilmb) - D:\mb-jpeg\system.mhs:94 - 1 master(s) : 1 slave(s)
4269
lmb_v10 (dlmb) - D:\mb-jpeg\system.mhs:102 - 1 master(s) : 1 slave(s)
4270
 
4271
Check port drivers...
4272
 
4273
Check platform address map ...
4274
 
4275
Overriding system level properties ...
4276
opb_v20 (mb_opb) -
4277
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd:36
4278
- tool overriding c_num_masters value 4 to 2
4279
lmb_v10 (ilmb) -
4280
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd:36
4281
- tool overriding c_lmb_num_slaves value 4 to 1
4282
lmb_v10 (dlmb) -
4283
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd:36
4284
- tool overriding c_lmb_num_slaves value 4 to 1
4285
lmb_bram_if_cntlr (dlmb_cntlr) -
4286
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
4287
_cntlr_v2_1_0.mpd:42 - tool overriding c_mask value 0x00800000 to 0x10c00000
4288
lmb_bram_if_cntlr (ilmb_cntlr) -
4289
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
4290
_cntlr_v2_1_0.mpd:42 - tool overriding c_mask value 0x00800000 to 0x10c00000
4291
bram_block (lmb_bram) -
4292
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
4293
mpd:35 - tool overriding c_memsize value 2048 to 65536
4294
 
4295
Running DRC Tcl procedures for OPTION SYSLEVEL_DRC_PROC...
4296
Sourcing tcl file
4297
C:/EDK/hw/XilinxProcessorIPLib/pcores/ddr_v2_00_b/data/ddr_v2_1_0.tcl ...
4298
 
4299
Performing System level DRCs on properties...
4300
WARNING:MDT - Peripheral sysclk_inv is not connected to any of the processors in
4301
   the system. Check for the following reasons.
4302
   1. sysclk_inv is not connected to any of the buses connected to a processor.
4303
   2. sysclk_inv does not have adresses set correctly.
4304
   3. sysclk_inv's address is not within any of the bridge windows connected to
4305
   a processor.
4306
WARNING:MDT - Peripheral clk90_inv is not connected to any of the processors in
4307
   the system. Check for the following reasons.
4308
   1. clk90_inv is not connected to any of the buses connected to a processor.
4309
   2. clk90_inv does not have adresses set correctly.
4310
   3. clk90_inv's address is not within any of the bridge windows connected to a
4311
   processor.
4312
WARNING:MDT - Peripheral ddr_clk90_inv is not connected to any of the processors
4313
   in the system. Check for the following reasons.
4314
   1. ddr_clk90_inv is not connected to any of the buses connected to a
4315
   processor.
4316
   2. ddr_clk90_inv does not have adresses set correctly.
4317
   3. ddr_clk90_inv's address is not within any of the bridge windows connected
4318
   to a processor.
4319
WARNING:MDT - Peripheral dcm_0 is not connected to any of the processors in the
4320
   system. Check for the following reasons.
4321
   1. dcm_0 is not connected to any of the buses connected to a processor.
4322
   2. dcm_0 does not have adresses set correctly.
4323
   3. dcm_0's address is not within any of the bridge windows connected to a
4324
   processor.
4325
WARNING:MDT - Peripheral dcm_1 is not connected to any of the processors in the
4326
   system. Check for the following reasons.
4327
   1. dcm_1 is not connected to any of the buses connected to a processor.
4328
   2. dcm_1 does not have adresses set correctly.
4329
   3. dcm_1's address is not within any of the bridge windows connected to a
4330
   processor.
4331
INFO:MDT - List of peripherals addressable from processor instance microblaze_0
4332
   :
4333
  - dlmb_cntlr
4334
  - ilmb_cntlr
4335
  - debug_module
4336
  - RS232_Uart_1
4337
  - SysACE_CompactFlash
4338
  - DDR_256MB_32MX64_rank1_row13_col10_cl2_5
4339
 
4340
Building Directory Structure for microblaze_0
4341
 
4342
Generating platform libraries and device drivers ...
4343
 
4344
Running CopyFiles ...
4345
 
4346
Copying files for os standalone_v1_00_a from
4347
C:\EDK\sw\lib\bsp\standalone_v1_00_a\src\ to
4348
D:\mb-jpeg\microblaze_0\libsrc\standalone_v1_00_a\ ...
4349
 
4350
Copying files for driver uartlite_v1_00_b from
4351
C:\EDK\sw\XilinxProcessorIPLib\drivers\uartlite_v1_00_b\src\ to
4352
D:\mb-jpeg\microblaze_0\libsrc\uartlite_v1_00_b\ ...
4353
 
4354
Copying files for driver sysace_v1_00_a from
4355
C:\EDK\sw\XilinxProcessorIPLib\drivers\sysace_v1_00_a\src\ to
4356
D:\mb-jpeg\microblaze_0\libsrc\sysace_v1_00_a\ ...
4357
 
4358
Copying files for driver cpu_v1_00_a from
4359
C:\EDK\sw\XilinxProcessorIPLib\drivers\cpu_v1_00_a\src\ to
4360
D:\mb-jpeg\microblaze_0\libsrc\cpu_v1_00_a\ ...
4361
 
4362
Copying files for library xilfatfs_v1_00_a from
4363
C:\EDK\sw\lib\sw_services\xilfatfs_v1_00_a\src\ to
4364
D:\mb-jpeg\microblaze_0\libsrc\xilfatfs_v1_00_a\ ...
4365
 
4366
Running DRCs for OSes, Drivers and Libraries ...
4367
 
4368
Running generate for OS'es, Drivers and Libraries ...
4369
Copying Library Files ...
4370
 
4371
Running post_generate for OS'es, Drivers and Libraries ...
4372
 
4373
Running make for Drivers and Libraries ...
4374
 
4375
Configuring make for target include using:
4376
 
4377
make -s include "COMPILER=mb-gcc" "ARCHIVER=mb-ar"
4378
"COMPILER_FLAGS=-mno-xl-soft-mul  -O2 -c" "EXTRA_COMPILER_FLAGS=-g"
4379
 
4380
Configuring make for target libs using:
4381
 
4382
make -s libs "COMPILER=mb-gcc" "ARCHIVER=mb-ar"
4383
"COMPILER_FLAGS=-mno-xl-soft-mul  -O2 -c" "EXTRA_COMPILER_FLAGS=-g"
4384
Compiling commo
4385
Compiling  microblaze_disable_dcache.
4386
Compiling  microblaze_disable_exceptions.
4387
Compiling  microblaze_disable_icache.
4388
Compiling  microblaze_disable_interrupts.
4389
Compiling  microblaze_enable_dcache.
4390
Compiling  microblaze_enable_exceptions.
4391
Compiling  microblaze_enable_icache.
4392
Compiling  microblaze_enable_interrupts.
4393
Compiling  microblaze_init_dcache_range.
4394
Compiling  microblaze_init_icache_range.
4395
Compiling  microblaze_update_dcache.
4396
Compiling  microblaze_update_icache.
4397
Compiling  inbyte.
4398
Compiling  microblaze_exception_handler.
4399
Compiling  microblaze_exceptions_g.
4400
Compiling  microblaze_interrupt_handler.
4401
Compiling  microblaze_interrupts_g.
4402
Compiling  outbyte.
4403
Compiling  hw_exception_handler.
4404
Compiling  src/xilfatfs_alloc.
4405
Compiling  src/xilfatfs_close.
4406
Compiling  src/xilfatfs_directory.
4407
Compiling  src/xilfatfs_fat.
4408
Compiling  src/xilfatfs_fat16.
4409
Compiling  src/xilfatfs_fat32.
4410
Compiling  src/xilfatfs_filespec.
4411
Compiling  src/xilfatfs_filestatus.
4412
Compiling  src/xilfatfs_open.
4413
Compiling  src/xilfatfs_part.
4414
Compiling  src/xilfatfs_read.
4415
Compiling  src/xilfatfs_wd.
4416
Compiling  src/xilfatfs_stats.
4417
Compiling  src/xilfatfs_bufcache.
4418
Compiling  src/xilfatfs_write.
4419
Compiling  src/xilfatfs_sysace.
4420
make clea
4421
Compiling uartlit
4422
Compiling sysac
4423
Compiling cp
4424
 
4425
Libraries generated in D:\mb-jpeg\microblaze_0\lib\ directory
4426
 
4427
Running execs_generate for OS'es, Drivers and Libraries ...
4428
 
4429
LibGen Done.
4430
mb-gcc -O2 mb-bmp2jpg/bmp2jpg.c mb-bmp2jpg/ColorConversion.c mb-bmp2jpg/dct.c mb-bmp2jpg/huffman.c mb-bmp2jpg/jpeg.c mb-bmp2jpg/xupv2p.c mb-bmp2jpg/zzq.c  -o mb-bmp2jpg/executable.elf \
4431
   -mno-xl-soft-mul     -Wl,-T -Wl,mb-bmp2jpg_linker_script    -I./microblaze_0/include/  -Imb-bmp2jpg/  -L./microblaze_0/lib/  \
4432
-xl-mode-executable  \
4433
-D__XUPV2P
4434
mb-bmp2jpg/huffman.c: In function `HuffmanEncodeFinishSend':
4435
mb-bmp2jpg/huffman.c:290: warning: comparison is always true due to limited range of data type
4436
/cygdrive/c/EDK/gnu/microblaze/nt/bin/../lib/gcc/microblaze/3.4.1/../../../../microblaze/bin/ld.real: region ilmb_cntlr_dlmb_cntlr is full (mb-bmp2jpg/executable.elf section .text)
4437
/cygdrive/c/EDK/gnu/microblaze/nt/bin/../lib/gcc/microblaze/3.4.1/../../../../microblaze/bin/ld.real: region ilmb_cntlr_dlmb_cntlr is full (mb-bmp2jpg/executable.elf section .text)
4438
/cygdrive/c/EDK/gnu/microblaze/nt/bin/../lib/gcc/microblaze/3.4.1/../../../../microblaze/bin/ld.real: section .rodata [00000000 -> 00000657] overlaps section .text [00000000 -> 000118a3]
4439
/cygdrive/c/EDK/gnu/microblaze/nt/bin/../lib/gcc/microblaze/3.4.1/../../../../microblaze/bin/ld.real: section .sdata2 [00000658 -> 00000937] overlaps section .text [00000000 -> 000118a3]
4440
/cygdrive/c/EDK/gnu/microblaze/nt/bin/../lib/gcc/microblaze/3.4.1/../../../../microblaze/bin/ld.real: section .data [00000938 -> 00002077] overlaps section .text [00000000 -> 000118a3]
4441
collect2: ld returned 1 exit status
4442
make: *** [mb-bmp2jpg/executable.elf] Error 1
4443
Done.
4444
No changes to be saved in XMP file
4445
Project Opened.
4446
At Local date and time: Wed Nov 01 19:21:51 2006
4447
Command xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" Started...
4448
mb-gcc -O2 mb-bmp2jpg/bmp2jpg.c mb-bmp2jpg/ColorConversion.c mb-bmp2jpg/dct.c mb-bmp2jpg/huffman.c mb-bmp2jpg/jpeg.c mb-bmp2jpg/xupv2p.c mb-bmp2jpg/zzq.c  -o mb-bmp2jpg/executable.elf \
4449
   -mno-xl-soft-mul     -Wl,-T -Wl,mb-bmp2jpg_linker_script    -I./microblaze_0/include/  -Imb-bmp2jpg/  -L./microblaze_0/lib/  \
4450
-xl-mode-executable  \
4451
-D__XUPV2P
4452
mb-bmp2jpg/huffman.c: In function `HuffmanEncodeFinishSend':
4453
mb-bmp2jpg/huffman.c:290: warning: comparison is always true due to limited range of data type
4454
/cygdrive/c/EDK/gnu/microblaze/nt/bin/../lib/gcc/microblaze/3.4.1/../../../../microblaze/bin/ld.real: region ilmb_cntlr_dlmb_cntlr is full (mb-bmp2jpg/executable.elf section .text)
4455
/cygdrive/c/EDK/gnu/microblaze/nt/bin/../lib/gcc/microblaze/3.4.1/../../../../microblaze/bin/ld.real: region ilmb_cntlr_dlmb_cntlr is full (mb-bmp2jpg/executable.elf section .text)
4456
/cygdrive/c/EDK/gnu/microblaze/nt/bin/../lib/gcc/microblaze/3.4.1/../../../../microblaze/bin/ld.real: section .rodata [00000000 -> 00000657] overlaps section .text [00000000 -> 000118a3]
4457
/cygdrive/c/EDK/gnu/microblaze/nt/bin/../lib/gcc/microblaze/3.4.1/../../../../microblaze/bin/ld.real: section .sdata2 [00000658 -> 00000937] overlaps section .text [00000000 -> 000118a3]
4458
/cygdrive/c/EDK/gnu/microblaze/nt/bin/../lib/gcc/microblaze/3.4.1/../../../../microblaze/bin/ld.real: section .data [00000938 -> 00002077] overlaps section .text [00000000 -> 000118a3]
4459
collect2: ld returned 1 exit status
4460
make: *** [mb-bmp2jpg/executable.elf] Error 1
4461
Done.
4462
At Local date and time: Wed Nov 01 19:23:16 2006
4463
Command xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" Started...
4464
mb-gcc -O2 mb-bmp2jpg/bmp2jpg.c mb-bmp2jpg/ColorConversion.c mb-bmp2jpg/dct.c mb-bmp2jpg/huffman.c mb-bmp2jpg/jpeg.c mb-bmp2jpg/xupv2p.c mb-bmp2jpg/zzq.c  -o mb-bmp2jpg/executable.elf \
4465
   -mno-xl-soft-mul     -Wl,-T -Wl,mb-bmp2jpg_linker_script    -I./microblaze_0/include/  -Imb-bmp2jpg/  -L./microblaze_0/lib/  \
4466
-xl-mode-executable  \
4467
-D__XUPV2P
4468
mb-bmp2jpg/huffman.c: In function `HuffmanEncodeFinishSend':
4469
mb-bmp2jpg/huffman.c:290: warning: comparison is always true due to limited range of data type
4470
/cygdrive/c/EDK/gnu/microblaze/nt/bin/../lib/gcc/microblaze/3.4.1/../../../../microblaze/bin/ld.real: region ilmb_cntlr_dlmb_cntlr is full (mb-bmp2jpg/executable.elf section .text)
4471
/cygdrive/c/EDK/gnu/microblaze/nt/bin/../lib/gcc/microblaze/3.4.1/../../../../microblaze/bin/ld.real: region ilmb_cntlr_dlmb_cntlr is full (mb-bmp2jpg/executable.elf section .text)
4472
/cygdrive/c/EDK/gnu/microblaze/nt/bin/../lib/gcc/microblaze/3.4.1/../../../../microblaze/bin/ld.real: section .rodata [00000000 -> 0000065b] overlaps section .text [00000000 -> 000118ab]
4473
/cygdrive/c/EDK/gnu/microblaze/nt/bin/../lib/gcc/microblaze/3.4.1/../../../../microblaze/bin/ld.real: section .sdata2 [0000065c -> 0000093f] overlaps section .text [00000000 -> 000118ab]
4474
/cygdrive/c/EDK/gnu/microblaze/nt/bin/../lib/gcc/microblaze/3.4.1/../../../../microblaze/bin/ld.real: section .data [00000940 -> 0000207f] overlaps section .text [00000000 -> 000118ab]
4475
collect2: ld returned 1 exit status
4476
make: *** [mb-bmp2jpg/executable.elf] Error 1
4477
Done.
4478
At Local date and time: Wed Nov 01 19:24:50 2006
4479
Command xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" Started...
4480
mb-gcc -O2 mb-bmp2jpg/bmp2jpg.c mb-bmp2jpg/ColorConversion.c mb-bmp2jpg/dct.c mb-bmp2jpg/huffman.c mb-bmp2jpg/jpeg.c mb-bmp2jpg/xupv2p.c mb-bmp2jpg/zzq.c  -o mb-bmp2jpg/executable.elf \
4481
   -mno-xl-soft-mul     -Wl,-T -Wl,mb-bmp2jpg_linker_script    -I./microblaze_0/include/  -Imb-bmp2jpg/  -L./microblaze_0/lib/  \
4482
-xl-mode-executable  \
4483
-D__XUPV2P -D__MICROBLAZE
4484
mb-bmp2jpg/huffman.c: In function `HuffmanEncodeFinishSend':
4485
mb-bmp2jpg/huffman.c:290: warning: comparison is always true due to limited range of data type
4486
mb-bmp2jpg/xupv2p.c:12:1: warning: "__MICROBLAZE" redefined
4487
:5:1: warning: this is the location of the previous definition
4488
mb-size mb-bmp2jpg/executable.elf
4489
   text    data     bss     dec     hex filename
4490
  25636    5293    7892   38821    97a5 mb-bmp2jpg/executable.elf
4491
Done.
4492
No changes to be saved in XMP file
4493 57 quickwayne
Xilinx Platform Studio (XPS)
4494
Xilinx EDK 7.1.2 Build EDK_H.12.5.1
4495
 
4496
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
4497
 
4498
At Local date and time: Wed Nov 01 19:40:37 2006
4499
Command xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" Started...
4500
mb-gcc -O2 mb-bmp2jpg/bmp2jpg.c mb-bmp2jpg/ColorConversion.c mb-bmp2jpg/dct.c mb-bmp2jpg/huffman.c mb-bmp2jpg/jpeg.c mb-bmp2jpg/xupv2p.c mb-bmp2jpg/zzq.c  -o mb-bmp2jpg/executable.elf \
4501
   -mno-xl-soft-mul     -Wl,-T -Wl,mb-bmp2jpg_linker_script    -I./microblaze_0/include/  -Imb-bmp2jpg/  -L./microblaze_0/lib/  \
4502
-xl-mode-executable  \
4503
-D__XUPV2P -D__MICROBLAZE
4504
mb-bmp2jpg/huffman.c: In function `HuffmanEncodeFinishSend':
4505
mb-bmp2jpg/huffman.c:285: warning: comparison is always true due to limited range of data type
4506
mb-bmp2jpg/xupv2p.c:12:1: warning: "__MICROBLAZE" redefined
4507
:5:1: warning: this is the location of the previous definition
4508
mb-size mb-bmp2jpg/executable.elf
4509
   text    data     bss     dec     hex filename
4510
  25544    5161    7892   38597    96c5 mb-bmp2jpg/executable.elf
4511
Done.
4512
At Local date and time: Wed Nov 01 19:40:55 2006
4513
Command xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" Started...
4514
mb-gcc -O2 mb-bmp2jpg/bmp2jpg.c mb-bmp2jpg/ColorConversion.c mb-bmp2jpg/dct.c mb-bmp2jpg/huffman.c mb-bmp2jpg/jpeg.c mb-bmp2jpg/xupv2p.c mb-bmp2jpg/zzq.c  -o mb-bmp2jpg/executable.elf \
4515
   -mno-xl-soft-mul     -Wl,-T -Wl,mb-bmp2jpg_linker_script    -I./microblaze_0/include/  -Imb-bmp2jpg/  -L./microblaze_0/lib/  \
4516
-xl-mode-executable  \
4517
-D__XUPV2P
4518
mb-bmp2jpg/huffman.c: In function `HuffmanEncodeFinishSend':
4519
mb-bmp2jpg/huffman.c:285: warning: comparison is always true due to limited range of data type
4520
mb-size mb-bmp2jpg/executable.elf
4521
   text    data     bss     dec     hex filename
4522
  25544    5161    7892   38597    96c5 mb-bmp2jpg/executable.elf
4523
Done.
4524
At Local date and time: Wed Nov 01 19:41:02 2006
4525
Command xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make init_bram; exit;" Started...
4526
****************************************************
4527
Creating system netlist for hardware specification..
4528
****************************************************
4529
platgen -p xc2vp30ff896-7 -lang vhdl -lp D:/XilinxXUP/lib/  -st xst system.mhs
4530
 
4531
Release Xilinx EDK 7.1.2 - platgen EDK_H.12.5.1
4532
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
4533
 
4534
Command Line: platgen -p xc2vp30ff896-7 -lang vhdl -lp D:/XilinxXUP/lib/ -st xst
4535
system.mhs
4536
 
4537
Parse system.mhs ...
4538
 
4539
Read MPD definitions ...
4540
Sourcing tcl file
4541
C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0.
4542
tcl ...
4543
Sourcing tcl file
4544
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl
4545
...
4546
Sourcing tcl file
4547
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if
4548
_cntlr_v2_1_0.tcl ...
4549
Sourcing tcl file
4550
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0.
4551
tcl ...
4552
Sourcing tcl file
4553
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_ddr_v2_00_b/data/opb_ddr_v2_1_0.tcl
4554
...
4555
 
4556
Overriding IP level properties ...
4557
microblaze (microblaze_0) -
4558
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
4559
mpd:60 - tool overriding c_family value virtex2 to virtex2p
4560
microblaze (microblaze_0) -
4561
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
4562
mpd:61 - tool overriding c_instance value microblaze to microblaze_0
4563
microblaze (microblaze_0) -
4564
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
4565
mpd:90 - tcl overriding C_ADDR_TAG_BITS value 17 to 0
4566
microblaze (microblaze_0) -
4567
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
4568
mpd:97 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0
4569
opb_mdm (debug_module) -
4570
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd:38
4571
- tool overriding c_family value virtex2 to virtex2p
4572
bram_block (lmb_bram) -
4573
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
4574
mpd:39 - tool overriding c_family value virtex2 to virtex2p
4575
opb_ddr (ddr_256mb_32mx64_rank1_row13_col10_cl2_5) -
4576
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_ddr_v2_00_b\data\opb_ddr_v2_1_0.mpd:42
4577
- tool overriding c_family value virtex2 to virtex2p
4578
dcm_module (dcm_0) -
4579
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
4580
mpd:56 - tool overriding c_family value virtex2 to virtex2p
4581
dcm_module (dcm_1) -
4582
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
4583
mpd:56 - tool overriding c_family value virtex2 to virtex2p
4584
 
4585
Performing IP level DRCs on properties...
4586
 
4587
Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC...
4588
Address Map for Processor microblaze_0
4589
  (0x00000000-0x0000ffff) dlmb_cntlr    dlmb
4590
  (0x00000000-0x0000ffff) ilmb_cntlr    ilmb
4591
  (0x30000000-0x3fffffff) DDR_256MB_32MX64_rank1_row13_col10_cl2_5      mb_opb
4592
  (0x40600000-0x4060ffff) RS232_Uart_1  mb_opb
4593
  (0x41400000-0x4140ffff) debug_module  mb_opb
4594
  (0x41800000-0x4180ffff) SysACE_CompactFlash   mb_opb
4595
 
4596
Check platform configuration ...
4597
opb_v20 (mb_opb) - D:\mb-jpeg\system.mhs:68 - 2 master(s) : 4 slave(s)
4598
lmb_v10 (ilmb) - D:\mb-jpeg\system.mhs:94 - 1 master(s) : 1 slave(s)
4599
lmb_v10 (dlmb) - D:\mb-jpeg\system.mhs:102 - 1 master(s) : 1 slave(s)
4600
 
4601
Check port drivers...
4602
 
4603
Check platform address map ...
4604
 
4605
Overriding system level properties ...
4606
opb_v20 (mb_opb) -
4607
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd:36
4608
- tool overriding c_num_masters value 4 to 2
4609
lmb_v10 (ilmb) -
4610
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd:36
4611
- tool overriding c_lmb_num_slaves value 4 to 1
4612
lmb_v10 (dlmb) -
4613
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd:36
4614
- tool overriding c_lmb_num_slaves value 4 to 1
4615
lmb_bram_if_cntlr (dlmb_cntlr) -
4616
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
4617
_cntlr_v2_1_0.mpd:42 - tool overriding c_mask value 0x00800000 to 0x10c00000
4618
lmb_bram_if_cntlr (ilmb_cntlr) -
4619
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
4620
_cntlr_v2_1_0.mpd:42 - tool overriding c_mask value 0x00800000 to 0x10c00000
4621
bram_block (lmb_bram) -
4622
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
4623
mpd:35 - tool overriding c_memsize value 2048 to 65536
4624
 
4625
Running DRC Tcl procedures for OPTION SYSLEVEL_DRC_PROC...
4626
Sourcing tcl file
4627
C:/EDK/hw/XilinxProcessorIPLib/pcores/ddr_v2_00_b/data/ddr_v2_1_0.tcl ...
4628
 
4629
Performing System level DRCs on properties...
4630
 
4631
Running UPDATE Tcl procedures for OPTION PLATGEN_SYSLEVEL_UPDATE_PROC...
4632
Sourcing tcl file
4633
C:/EDK/hw/XilinxProcessorIPLib/pcores/ddr_v2_00_b/data/ddr_v2_1_0.tcl ...
4634
INFO: The DDR_256MB_32MX64_rank1_row13_col10_cl2_5 core has constraints automatically generated by XPS in implementation/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper.ucf.
4635
It can be overridden by constraints placed in the system.ucf file.
4636
 
4637
 
4638
Modify defaults ...
4639
 
4640
Processing licensed instances ...
4641
Completion time: 0.00 seconds
4642
 
4643
Creating hardware output directories ...
4644
 
4645
Managing hardware (BBD-specified) netlist files ...
4646
 
4647
Managing cache ...
4648
microblaze (microblaze_0) - D:\mb-jpeg\system.mhs:48 - Copying cache
4649
implementation netlist
4650
opb_v20 (mb_opb) - D:\mb-jpeg\system.mhs:68 - Copying cache implementation
4651
netlist
4652
opb_mdm (debug_module) - D:\mb-jpeg\system.mhs:76 - Copying cache implementation
4653
netlist
4654
lmb_v10 (ilmb) - D:\mb-jpeg\system.mhs:94 - Copying cache implementation netlist
4655
lmb_v10 (dlmb) - D:\mb-jpeg\system.mhs:102 - Copying cache implementation
4656
netlist
4657
lmb_bram_if_cntlr (dlmb_cntlr) - D:\mb-jpeg\system.mhs:110 - Copying cache
4658
implementation netlist
4659
lmb_bram_if_cntlr (ilmb_cntlr) - D:\mb-jpeg\system.mhs:119 - Copying cache
4660
implementation netlist
4661
bram_block (lmb_bram) - D:\mb-jpeg\system.mhs:128 - Copying cache implementation
4662
netlist
4663
opb_uartlite (rs232_uart_1) - D:\mb-jpeg\system.mhs:135 - Copying cache
4664
implementation netlist
4665
opb_sysace (sysace_compactflash) - D:\mb-jpeg\system.mhs:151 - Copying cache
4666
implementation netlist
4667
util_vector_logic (sysclk_inv) - D:\mb-jpeg\system.mhs:212 - Copying cache
4668
implementation netlist
4669
util_vector_logic (clk90_inv) - D:\mb-jpeg\system.mhs:221 - Copying cache
4670
implementation netlist
4671
util_vector_logic (ddr_clk90_inv) - D:\mb-jpeg\system.mhs:230 - Copying cache
4672
implementation netlist
4673
dcm_module (dcm_0) - D:\mb-jpeg\system.mhs:239 - Copying cache implementation
4674
netlist
4675
dcm_module (dcm_1) - D:\mb-jpeg\system.mhs:255 - Copying cache implementation
4676
netlist
4677
 
4678
Elaborating instances ...
4679
bram_block (lmb_bram) - D:\mb-jpeg\system.mhs:128 - elaborating IP
4680
 
4681
Writing HDL for elaborated instances ...
4682
 
4683
Inserting wrapper level ...
4684
Completion time: 3.00 seconds
4685
 
4686
Constructing platform-level signal connectivity ...
4687
Completion time: 4.00 seconds
4688
 
4689
Writing (top-level) BMM ...
4690
Writing BMM - D:\mb-jpeg\implementation\system.bmm
4691
 
4692
Writing (top-level and wrappers) HDL ...
4693
 
4694
Generating synthesis project file ...
4695
 
4696
Running XST synthesis ...
4697
INFO:MDT - The following instances are synthesized with XST. The MPD option
4698
   IMP_NETLIST=TRUE indicates that a NGC file is to be produced using XST
4699
   synthesis. IMP_NETLIST=FALSE (default) instances are not synthesized.
4700
ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper
4701
(ddr_256mb_32mx64_rank1_row13_col10_cl2_5) - D:\mb-jpeg\system.mhs:168 - Running
4702
XST synthesis
4703 58 quickwayne
 
4704
Running NGCBUILD ...
4705
ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper
4706
(ddr_256mb_32mx64_rank1_row13_col10_cl2_5) - D:\mb-jpeg\system.mhs:168 - Running
4707
NGCBUILD
4708
 
4709
Rebuilding cache ...
4710
Total run time: 77.00 seconds
4711
Running synthesis...
4712
bash -c "cd synthesis; ./synthesis.sh; cd .."
4713
WARNING:Xst:1530 - You are using an evaluation version of Xilinx Software. In 17
4714
   days, this program will not operate. For more information about this product,
4715
   please refer to the Evaluation Agreement, which was shipped to you along with
4716
   the Evaluation CDs.
4717
   To purchase an annual license for this software, please contact your local
4718
   Field Applications Engineer (FAE) or salesperson. If you have any questions,
4719
   or if we can assist in any way, please send an email to: eval@xilinx.com
4720
   Thank You!
4721
Release 7.1.02i - xst H.42
4722
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
4723
-->
4724
TABLE OF CONTENTS
4725
  1) Synthesis Options Summary
4726
  2) HDL Compilation
4727
  3) HDL Analysis
4728
  4) HDL Synthesis
4729
  5) Advanced HDL Synthesis
4730
     5.1) HDL Synthesis Report
4731
  6) Low Level Synthesis
4732
  7) Final Report
4733
     7.1) Device utilization summary
4734
     7.2) TIMING REPORT
4735
 
4736
 
4737
=========================================================================
4738
*                      Synthesis Options Summary                        *
4739
=========================================================================
4740
---- Source Parameters
4741
Input Format                       : MIXED
4742
Input File Name                    : "system_xst.prj"
4743
 
4744
---- Target Parameters
4745
Target Device                      : xc2vp30ff896-7
4746
Output File Name                   : "../implementation/system.ngc"
4747
 
4748
---- Source Options
4749
Top Module Name                    : system
4750
 
4751
---- Target Options
4752
Add IO Buffers                     : NO
4753
 
4754
---- General Options
4755
Optimization Goal                  : speed
4756
RTL Output                         : YES
4757
Hierarchy Separator                : /
4758
 
4759
=========================================================================
4760
 
4761
WARNING:Xst:29 - Optimization Effort not specified
4762
The following parameters have been added:
4763
Optimization Effort                : 1
4764
 
4765
=========================================================================
4766
 
4767
=========================================================================
4768
*                          HDL Compilation                              *
4769
=========================================================================
4770
Compiling vhdl file "d:/mb-jpeg/synthesis/../hdl/system.vhd" in Library work.
4771
Entity  compiled.
4772
Entity  (Architecture ) compiled.
4773
 
4774
=========================================================================
4775
*                            HDL Analysis                               *
4776
=========================================================================
4777
Analyzing Entity  (Architecture ).
4778
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1452: Generating a Black Box for component .
4779
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1458: Generating a Black Box for component .
4780
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1464: Generating a Black Box for component .
4781
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1470: Generating a Black Box for component .
4782
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1476: Generating a Black Box for component .
4783
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1482: Generating a Black Box for component .
4784
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1488: Generating a Black Box for component .
4785
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1494: Generating a Black Box for component .
4786
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1500: Generating a Black Box for component .
4787
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1506: Generating a Black Box for component .
4788
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1512: Generating a Black Box for component .
4789
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1520: Generating a Black Box for component .
4790
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1528: Generating a Black Box for component .
4791
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1536: Generating a Black Box for component .
4792
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1544: Generating a Black Box for component .
4793
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1552: Generating a Black Box for component .
4794
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1560: Generating a Black Box for component .
4795
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1568: Generating a Black Box for component .
4796
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1576: Generating a Black Box for component .
4797
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1584: Generating a Black Box for component .
4798
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1592: Generating a Black Box for component .
4799
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1600: Generating a Black Box for component .
4800
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1608: Generating a Black Box for component .
4801
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1616: Generating a Black Box for component .
4802
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1624: Generating a Black Box for component .
4803
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1632: Generating a Black Box for component .
4804
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1640: Generating a Black Box for component .
4805
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1646: Generating a Black Box for component .
4806
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1652: Generating a Black Box for component .
4807
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1658: Generating a Black Box for component .
4808
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1664: Generating a Black Box for component .
4809
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1670: Generating a Black Box for component .
4810
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1676: Generating a Black Box for component .
4811
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1682: Generating a Black Box for component .
4812
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1688: Generating a Black Box for component .
4813
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1694: Generating a Black Box for component .
4814
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1700: Generating a Black Box for component .
4815
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1706: Generating a Black Box for component .
4816
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1712: Generating a Black Box for component .
4817
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1718: Generating a Black Box for component .
4818
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1724: Generating a Black Box for component .
4819
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1730: Generating a Black Box for component .
4820
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1736: Generating a Black Box for component .
4821
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1742: Generating a Black Box for component .
4822
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1748: Generating a Black Box for component .
4823
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1754: Generating a Black Box for component .
4824
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1760: Generating a Black Box for component .
4825
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1766: Generating a Black Box for component .
4826
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1772: Generating a Black Box for component .
4827
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1778: Generating a Black Box for component .
4828
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1784: Generating a Black Box for component .
4829
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1790: Generating a Black Box for component .
4830
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1796: Generating a Black Box for component .
4831
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1802: Generating a Black Box for component .
4832
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1808: Generating a Black Box for component .
4833
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1814: Generating a Black Box for component .
4834
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1820: Generating a Black Box for component .
4835
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1826: Generating a Black Box for component .
4836
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1832: Generating a Black Box for component .
4837
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1838: Generating a Black Box for component .
4838
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1844: Generating a Black Box for component .
4839
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1850: Generating a Black Box for component .
4840
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1856: Generating a Black Box for component .
4841
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1864: Generating a Black Box for component .
4842
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1872: Generating a Black Box for component .
4843
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1880: Generating a Black Box for component .
4844
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1888: Generating a Black Box for component .
4845
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1896: Generating a Black Box for component .
4846
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1904: Generating a Black Box for component .
4847
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1912: Generating a Black Box for component .
4848
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1920: Generating a Black Box for component .
4849
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1928: Generating a Black Box for component .
4850
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1936: Generating a Black Box for component .
4851
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1944: Generating a Black Box for component .
4852
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1952: Generating a Black Box for component .
4853
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1960: Generating a Black Box for component .
4854
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1968: Generating a Black Box for component .
4855
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1976: Generating a Black Box for component .
4856
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1984: Generating a Black Box for component .
4857
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1992: Generating a Black Box for component .
4858
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2000: Generating a Black Box for component .
4859
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2008: Generating a Black Box for component .
4860
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2016: Generating a Black Box for component .
4861
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2024: Generating a Black Box for component .
4862
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2032: Generating a Black Box for component .
4863
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2040: Generating a Black Box for component .
4864
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2048: Generating a Black Box for component .
4865
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2056: Generating a Black Box for component .
4866
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2064: Generating a Black Box for component .
4867
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2072: Generating a Black Box for component .
4868
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2080: Generating a Black Box for component .
4869
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2088: Generating a Black Box for component .
4870
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2096: Generating a Black Box for component .
4871
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2104: Generating a Black Box for component .
4872
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2112: Generating a Black Box for component .
4873
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2120: Generating a Black Box for component .
4874
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2128: Generating a Black Box for component .
4875
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2136: Generating a Black Box for component .
4876
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2144: Generating a Black Box for component .
4877
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2152: Generating a Black Box for component .
4878
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2160: Generating a Black Box for component .
4879
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2168: Generating a Black Box for component .
4880
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2176: Generating a Black Box for component .
4881
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2184: Generating a Black Box for component .
4882
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2192: Generating a Black Box for component .
4883
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2200: Generating a Black Box for component .
4884
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2208: Generating a Black Box for component .
4885
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2216: Generating a Black Box for component .
4886
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2224: Generating a Black Box for component .
4887
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2232: Generating a Black Box for component .
4888
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2240: Generating a Black Box for component .
4889
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2248: Generating a Black Box for component .
4890
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2256: Generating a Black Box for component .
4891
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2264: Generating a Black Box for component .
4892
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2272: Generating a Black Box for component .
4893
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2280: Generating a Black Box for component .
4894
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2288: Generating a Black Box for component .
4895
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2296: Generating a Black Box for component .
4896
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2304: Generating a Black Box for component .
4897
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2312: Generating a Black Box for component .
4898
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2320: Generating a Black Box for component .
4899
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2328: Generating a Black Box for component .
4900
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2336: Generating a Black Box for component .
4901
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2344: Generating a Black Box for component .
4902
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2352: Generating a Black Box for component .
4903
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2360: Generating a Black Box for component .
4904
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2368: Generating a Black Box for component .
4905
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2376: Generating a Black Box for component .
4906
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2384: Generating a Black Box for component .
4907
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2392: Generating a Black Box for component .
4908
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2400: Generating a Black Box for component .
4909
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2408: Generating a Black Box for component .
4910
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2416: Generating a Black Box for component .
4911
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2424: Generating a Black Box for component .
4912
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2432: Generating a Black Box for component .
4913
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2438: Generating a Black Box for component .
4914
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2444: Generating a Black Box for component .
4915
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2450: Generating a Black Box for component .
4916
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2456: Generating a Black Box for component .
4917
WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2462: Generating a Black Box for component .
4918
Entity  analyzed. Unit  generated.
4919
 
4920
 
4921
=========================================================================
4922
*                           HDL Synthesis                               *
4923
=========================================================================
4924
 
4925
Synthesizing Unit .
4926
    Related source file is "d:/mb-jpeg/synthesis/../hdl/system.vhd".
4927
WARNING:Xst:646 - Signal > is assigned but never used.
4928
WARNING:Xst:646 - Signal > is assigned but never used.
4929
Unit  synthesized.
4930
 
4931
=========================================================================
4932
*                       Advanced HDL Synthesis                          *
4933
=========================================================================
4934
 
4935
Advanced RAM inference ...
4936
Advanced multiplier inference ...
4937
Advanced Registered AddSub inference ...
4938
Dynamic shift register inference ...
4939
 
4940
=========================================================================
4941
HDL Synthesis Report
4942
 
4943
Found no macro
4944
=========================================================================
4945
 
4946
=========================================================================
4947
*                         Low Level Synthesis                           *
4948
=========================================================================
4949
Loading device for application Rf_Device from file '2vp30.nph' in environment c:/Xilinx.
4950
 
4951
Optimizing unit  ...
4952
 
4953
Mapping all equations...
4954
Building and optimizing final netlist ...
4955
 
4956
=========================================================================
4957
*                            Final Report                               *
4958
=========================================================================
4959
Final Results
4960
RTL Top Level Output File Name     : ../implementation/system.ngr
4961
Top Level Output File Name         : ../implementation/system.ngc
4962
Output Format                      : ngc
4963
Optimization Goal                  : speed
4964
Keep Hierarchy                     : no
4965
 
4966
Design Statistics
4967
# IOs                              : 140
4968
 
4969
Cell Usage :
4970
# BELS                             : 2
4971
#      GND                         : 1
4972
#      VCC                         : 1
4973
# IO Buffers                       : 140
4974
#      IBUF                        : 5
4975
#      IBUFG                       : 1
4976
#      IOBUF                       : 88
4977
#      OBUF                        : 46
4978
# Others                           : 16
4979
#      clk90_inv_wrapper           : 1
4980
#      dcm_0_wrapper               : 1
4981
#      dcm_1_wrapper               : 1
4982
#      ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper: 1
4983
#      ddr_clk90_inv_wrapper       : 1
4984
#      debug_module_wrapper        : 1
4985
#      dlmb_cntlr_wrapper          : 1
4986
#      dlmb_wrapper                : 1
4987
#      ilmb_cntlr_wrapper          : 1
4988
#      ilmb_wrapper                : 1
4989
#      lmb_bram_wrapper            : 1
4990
#      mb_opb_wrapper              : 1
4991
#      microblaze_0_wrapper        : 1
4992
#      rs232_uart_1_wrapper        : 1
4993
#      sysace_compactflash_wrapper : 1
4994
#      sysclk_inv_wrapper          : 1
4995
=========================================================================
4996
 
4997
Device utilization summary:
4998
---------------------------
4999
 
5000
Selected Device : 2vp30ff896-7
5001
 
5002
 Number of bonded IOBs:                140  out of    556    25%
5003
 
5004
 
5005
=========================================================================
5006
TIMING REPORT
5007
 
5008
NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE.
5009
      FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT
5010
      GENERATED AFTER PLACE-and-ROUTE.
5011
 
5012
Clock Information:
5013
------------------
5014
No clock signals found in this design
5015
 
5016
Timing Summary:
5017
---------------
5018
Speed Grade: -7
5019
 
5020
   Minimum period: No path found
5021
   Minimum input arrival time before clock: No path found
5022
   Maximum output required time after clock: No path found
5023
   Maximum combinational path delay: 2.924ns
5024
 
5025
Timing Detail:
5026
--------------
5027
All values displayed in nanoseconds (ns)
5028
 
5029
=========================================================================
5030
Timing constraint: Default path analysis
5031
  Total number of paths / destination ports: 1594 / 1506
5032
-------------------------------------------------------------------------
5033
Delay:               2.924ns (Levels of Logic = 1)
5034
  Source:            ddr_256mb_32mx64_rank1_row13_col10_cl2_5:DDR_DQS_O<7> (PAD)
5035
  Destination:       fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQS_pin<7> (PAD)
5036
 
5037
  Data Path: ddr_256mb_32mx64_rank1_row13_col10_cl2_5:DDR_DQS_O<7> to fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQS_pin<7>
5038
                                Gate     Net
5039
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
5040
    ----------------------------------------  ------------
5041
    ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper:DDR_DQS_O<7>    1   0.000   0.332  ddr_256mb_32mx64_rank1_row13_col10_cl2_5 (fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQS_O<7>)
5042
     IOBUF:I->IO               2.592          iobuf_69 (fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQS_pin<7>)
5043
    ----------------------------------------
5044
    Total                      2.924ns (2.592ns logic, 0.332ns route)
5045
                                       (88.7% logic, 11.3% route)
5046
 
5047
=========================================================================
5048
CPU : 11.04 / 11.20 s | Elapsed : 11.00 / 11.00 s
5049
 
5050
-->
5051
 
5052
Total memory usage is 161848 kilobytes
5053
 
5054
Number of errors   :    0 (   0 filtered)
5055
Number of warnings :  144 (   0 filtered)
5056
Number of infos    :    0 (   0 filtered)
5057
Copying Xilinx Implementation tool scripts..
5058
*********************************************
5059
Running Xilinx Implementation tools..
5060
*********************************************
5061
xflow -wd implementation -p xc2vp30ff896-7 -implement fast_runtime.opt system.ngc
5062
Release 7.1.02i - Xflow H.38
5063
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
5064
xflow.exe -wd implementation -p xc2vp30ff896-7 -implement fast_runtime.opt
5065
system.ngc
5066
 
5067
Using Flow File: D:/mb-jpeg/implementation/fpga.flw
5068
Using Option File(s):
5069
 D:/mb-jpeg/implementation/fast_runtime.opt
5070
 
5071
Creating Script File ...
5072
 
5073
#----------------------------------------------#
5074
# Starting program ngdbuild
5075
# ngdbuild -p xc2vp30ff896-7 -nt timestamp -bm system.bmm
5076
D:/mb-jpeg/implementation/system.ngc -uc system.ucf system.ngd
5077
#----------------------------------------------#
5078
Release 7.1.02i - ngdbuild H.42
5079
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
5080
 
5081
Command Line: ngdbuild -p xc2vp30ff896-7 -nt timestamp -bm system.bmm -uc
5082
system.ucf D:/mb-jpeg/implementation/system.ngc system.ngd
5083
 
5084
Reading NGO file 'D:/mb-jpeg/implementation/system.ngc' ...
5085
Loading design module "D:/mb-jpeg/implementation/microblaze_0_wrapper.ngc"...
5086
Loading design module "D:/mb-jpeg/implementation/mb_opb_wrapper.ngc"...
5087
Loading design module "D:/mb-jpeg/implementation/debug_module_wrapper.ngc"...
5088
Loading design module "D:/mb-jpeg/implementation/ilmb_wrapper.ngc"...
5089
Loading design module "D:/mb-jpeg/implementation/dlmb_wrapper.ngc"...
5090
Loading design module "D:/mb-jpeg/implementation/dlmb_cntlr_wrapper.ngc"...
5091
Loading design module "D:/mb-jpeg/implementation/ilmb_cntlr_wrapper.ngc"...
5092
Loading design module "D:/mb-jpeg/implementation/lmb_bram_wrapper.ngc"...
5093
Loading design module "D:/mb-jpeg/implementation/rs232_uart_1_wrapper.ngc"...
5094
Loading design module
5095
"D:/mb-jpeg/implementation/sysace_compactflash_wrapper.ngc"...
5096
Loading design module
5097
"D:/mb-jpeg/implementation/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper.ngc"
5098
...
5099
Loading design module "D:/mb-jpeg/implementation/sysclk_inv_wrapper.ngc"...
5100
Loading design module "D:/mb-jpeg/implementation/clk90_inv_wrapper.ngc"...
5101
Loading design module "D:/mb-jpeg/implementation/ddr_clk90_inv_wrapper.ngc"...
5102
Loading design module "D:/mb-jpeg/implementation/dcm_0_wrapper.ngc"...
5103
Loading design module "D:/mb-jpeg/implementation/dcm_1_wrapper.ngc"...
5104
 
5105
Applying constraints in "system.ucf" to the design...
5106
 
5107
Checking timing specifications ...
5108
INFO:XdmHelpers:851 - TNM "sys_clk_pin", used in period specification
5109
   "TS_sys_clk_pin", was traced into DCM instance "dcm_0/dcm_0/DCM_INST". The
5110
   following new TNM groups and period specifications were generated at the DCM
5111
   output(s):
5112
   CLK0: TS_dcm_0_dcm_0_CLK0_BUF=PERIOD dcm_0_dcm_0_CLK0_BUF
5113
TS_sys_clk_pin*1.000000 HIGH 50.000000%
5114
   CLK90: TS_dcm_0_dcm_0_CLK90_BUF=PERIOD dcm_0_dcm_0_CLK90_BUF
5115
TS_sys_clk_pin*1.000000 PHASE + 2.500000 nS HIGH 50.000000%
5116
 
5117
Processing BMM file ...
5118
 
5119
Checking expanded design ...
5120
WARNING:NgdBuild:443 - SFF primitive
5121
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5122
   cl2_5/DDR_CTRL_I/MW_RD_WR_I/ADDR_SEL_SYNC_FIFO_I/I_VAC_CALC/Counter_Bit_I0/FD
5123
   RE_I' has unconnected output pin
5124
WARNING:NgdBuild:443 - SFF primitive
5125
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5126
   cl2_5/DDR_CTRL_I/MW_RD_WR_I/ADDR_SEL_SYNC_FIFO_I/I_VAC_CALC/Counter_Bit_I4/FD
5127
   RE_I' has unconnected output pin
5128
WARNING:NgdBuild:443 - SFF primitive
5129
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5130
   cl2_5/DDR_CTRL_I/MW_RD_WR_I/ADDR_SEL_SYNC_FIFO_I/I_VAC_CALC/Counter_Bit_I3/FD
5131
   RE_I' has unconnected output pin
5132
WARNING:NgdBuild:443 - SFF primitive
5133
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5134
   cl2_5/DDR_CTRL_I/MW_RD_WR_I/ADDR_SEL_SYNC_FIFO_I/I_VAC_CALC/Counter_Bit_I2/FD
5135
   RE_I' has unconnected output pin
5136
WARNING:NgdBuild:443 - SFF primitive
5137
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5138
   cl2_5/DDR_CTRL_I/MW_RD_WR_I/ADDR_SEL_SYNC_FIFO_I/I_VAC_CALC/Counter_Bit_I1/FD
5139
   RE_I' has unconnected output pin
5140
WARNING:NgdBuild:443 - SFF primitive
5141
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5142
   cl2_5/DDR_CTRL_I/MW_RD_WR_I/ADDR_SEL_SYNC_FIFO_I/I_ADDR_CNTR/I_UP_DWN_COUNTER
5143
   /I_CARRY_OUT' has unconnected output pin
5144
WARNING:NgdBuild:440 - FF primitive
5145
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5146
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5147
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5148
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM0REG_SP/REG' has unconnected
5149
   output pin
5150
WARNING:NgdBuild:440 - FF primitive
5151
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5152
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5153
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5154
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM1REG_SP/REG' has unconnected
5155
   output pin
5156
WARNING:NgdBuild:440 - FF primitive
5157
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5158
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5159
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5160
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM2REG_SP/REG' has unconnected
5161
   output pin
5162
WARNING:NgdBuild:440 - FF primitive
5163
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5164
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5165
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5166
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM3REG_SP/REG' has unconnected
5167
   output pin
5168
WARNING:NgdBuild:440 - FF primitive
5169
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5170
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5171
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5172
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM4REG_SP/REG' has unconnected
5173
   output pin
5174
WARNING:NgdBuild:440 - FF primitive
5175
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5176
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5177
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_
5178
5_wrapper_async_fi
5179
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM5REG_SP/REG' has unconnected
5180
   output pin
5181
WARNING:NgdBuild:440 - FF primitive
5182
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5183
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5184
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5185
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM6REG_SP/REG' has unconnected
5186
   output pin
5187
WARNING:NgdBuild:440 - FF primitive
5188
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5189
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5190
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5191
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM7REG_SP/REG' has unconnected
5192
   output pin
5193
WARNING:NgdBuild:440 - FF primitive
5194
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5195
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5196
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5197
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM8REG_SP/REG' has unconnected
5198
   output pin
5199
WARNING:NgdBuild:440 - FF primitive
5200
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5201
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5202
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5203
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM9REG_SP/REG' has unconnected
5204
   output pin
5205
WARNING:NgdBuild:440 - FF primitive
5206
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5207
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5208
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5209
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM10REG_SP/REG' has unconnected
5210
   output pin
5211
WARNING:NgdBuild:440 - FF primitive
5212
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5213
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5214
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5215
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM11REG_SP/REG' has unconnected
5216
   output pin
5217
WARNING:NgdBuild:440 - FF primitive
5218
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5219
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5220
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5221
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM12REG_SP/REG' has unconnected
5222
   output pin
5223
WARNING:NgdBuild:440 - FF primitive
5224
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5225
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5226
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5227
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM13REG_SP/REG' has unconnected
5228
   output pin
5229
WARNING:NgdBuild:440 - FF primitive
5230
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5231
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5232
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5233
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM14REG_SP/REG' has unconnected
5234
   output pin
5235
WARNING:NgdBuild:440 - FF primitive
5236
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5237
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5238
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5239
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM15REG_SP/REG' has unconnected
5240
   output pin
5241
WARNING:NgdBuild:440 - FF primitive
5242
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5243
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5244
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5245
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM16REG_SP/REG' has unconnected
5246
   output p
5247
in
5248
WARNING:NgdBuild:440 - FF primitive
5249
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5250
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5251
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5252
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM17REG_SP/REG' has unconnected
5253
   output pin
5254
WARNING:NgdBuild:440 - FF primitive
5255
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5256
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5257
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5258
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM18REG_SP/REG' has unconnected
5259
   output pin
5260
WARNING:NgdBuild:440 - FF primitive
5261
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5262
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5263
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5264
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM19REG_SP/REG' has unconnected
5265
   output pin
5266
WARNING:NgdBuild:440 - FF primitive
5267
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5268
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5269
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5270
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM20REG_SP/REG' has unconnected
5271
   output pin
5272
WARNING:NgdBuild:440 - FF primitive
5273
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5274
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5275
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5276
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM21REG_SP/REG' has unconnected
5277
   output pin
5278
WARNING:NgdBuild:440 - FF primitive
5279
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5280
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5281
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5282
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM22REG_SP/REG' has unconnected
5283
   output pin
5284
WARNING:NgdBuild:440 - FF primitive
5285
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5286
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5287
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5288
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM23REG_SP/REG' has unconnected
5289
   output pin
5290
WARNING:NgdBuild:440 - FF primitive
5291
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5292
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5293
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5294
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM24REG_SP/REG' has unconnected
5295
   output pin
5296
WARNING:NgdBuild:440 - FF primitive
5297
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5298
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5299
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5300
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM25REG_SP/REG' has unconnected
5301
   output pin
5302
WARNING:NgdBuild:440 - FF primitive
5303
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5304
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5305
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5306
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM26REG_SP/REG' has unconnected
5307
   output pin
5308
WARNING:NgdBuild:440 - FF primitive
5309
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5310
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5311
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5312
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM27REG_SP/REG' has unconnected
5313
   outp
5314
ut pin
5315
WARNING:NgdBuild:440 - FF primitive
5316
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5317
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5318
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5319
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM28REG_SP/REG' has unconnected
5320
   output pin
5321
WARNING:NgdBuild:440 - FF primitive
5322
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5323
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5324
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5325
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM29REG_SP/REG' has unconnected
5326
   output pin
5327
WARNING:NgdBuild:440 - FF primitive
5328
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5329
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5330
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5331
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM30REG_SP/REG' has unconnected
5332
   output pin
5333
WARNING:NgdBuild:440 - FF primitive
5334
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5335
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5336
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5337
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM31REG_SP/REG' has unconnected
5338
   output pin
5339
WARNING:NgdBuild:440 - FF primitive
5340
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5341
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5342
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5343
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM32REG_SP/REG' has unconnected
5344
   output pin
5345
WARNING:NgdBuild:440 - FF primitive
5346
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5347
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5348
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5349
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM33REG_SP/REG' has unconnected
5350
   output pin
5351
WARNING:NgdBuild:440 - FF primitive
5352
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5353
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5354
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5355
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM34REG_SP/REG' has unconnected
5356
   output pin
5357
WARNING:NgdBuild:440 - FF primitive
5358
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5359
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5360
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5361
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM35REG_SP/REG' has unconnected
5362
   output pin
5363
WARNING:NgdBuild:440 - FF primitive
5364
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5365
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5366
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5367
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM36REG_SP/REG' has unconnected
5368
   output pin
5369
WARNING:NgdBuild:440 - FF primitive
5370
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5371
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5372
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5373
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM37REG_SP/REG' has unconnected
5374
   output pin
5375
WARNING:NgdBuild:440 - FF primitive
5376
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5377
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5378
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5379
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM38REG_SP/REG' has unconnected
5380
   outp
5381
ut pin
5382
WARNING:NgdBuild:440 - FF primitive
5383
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5384
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5385
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5386
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM39REG_SP/REG' has unconnected
5387
   output pin
5388
WARNING:NgdBuild:440 - FF primitive
5389
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5390
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5391
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5392
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM40REG_SP/REG' has unconnected
5393
   output pin
5394
WARNING:NgdBuild:440 - FF primitive
5395
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5396
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5397
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5398
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM41REG_SP/REG' has unconnected
5399
   output pin
5400
WARNING:NgdBuild:440 - FF primitive
5401
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5402
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5403
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5404
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM42REG_SP/REG' has unconnected
5405
   output pin
5406
WARNING:NgdBuild:440 - FF primitive
5407
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5408
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5409
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5410
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM43REG_SP/REG' has unconnected
5411
   output pin
5412
WARNING:NgdBuild:440 - FF primitive
5413
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5414
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5415
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5416
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM44REG_SP/REG' has unconnected
5417
   output pin
5418
WARNING:NgdBuild:440 - FF primitive
5419
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5420
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5421
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5422
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM45REG_SP/REG' has unconnected
5423
   output pin
5424
WARNING:NgdBuild:440 - FF primitive
5425
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5426
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5427
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5428
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM46REG_SP/REG' has unconnected
5429
   output pin
5430
WARNING:NgdBuild:440 - FF primitive
5431
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5432
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5433
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5434
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM47REG_SP/REG' has unconnected
5435
   output pin
5436
WARNING:NgdBuild:440 - FF primitive
5437
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5438
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5439
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5440
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM48REG_SP/REG' has unconnected
5441
   output pin
5442
WARNING:NgdBuild:440 - FF primitive
5443
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5444
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5445
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5446
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM49REG_SP/REG' has unconnected
5447
   outp
5448
ut pin
5449
WARNING:NgdBuild:440 - FF primitive
5450
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5451
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5452
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5453
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM50REG_SP/REG' has unconnected
5454
   output pin
5455
WARNING:NgdBuild:440 - FF primitive
5456
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5457
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5458
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5459
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM51REG_SP/REG' has unconnected
5460
   output pin
5461
WARNING:NgdBuild:440 - FF primitive
5462
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5463
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5464
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5465
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM52REG_SP/REG' has unconnected
5466
   output pin
5467
WARNING:NgdBuild:440 - FF primitive
5468
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5469
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5470
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5471
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM53REG_SP/REG' has unconnected
5472
   output pin
5473
WARNING:NgdBuild:440 - FF primitive
5474
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5475
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5476
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5477
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM54REG_SP/REG' has unconnected
5478
   output pin
5479
WARNING:NgdBuild:440 - FF primitive
5480
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5481
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5482
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5483
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM55REG_SP/REG' has unconnected
5484
   output pin
5485
WARNING:NgdBuild:440 - FF primitive
5486
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5487
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5488
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5489
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM56REG_SP/REG' has unconnected
5490
   output pin
5491
WARNING:NgdBuild:440 - FF primitive
5492
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5493
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5494
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5495
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM57REG_SP/REG' has unconnected
5496
   output pin
5497
WARNING:NgdBuild:440 - FF primitive
5498
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5499
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5500
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5501
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM58REG_SP/REG' has unconnected
5502
   output pin
5503
WARNING:NgdBuild:440 - FF primitive
5504
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5505
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5506
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5507
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM59REG_SP/REG' has unconnected
5508
   output pin
5509
WARNING:NgdBuild:440 - FF primitive
5510
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5511
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5512
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5513
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM60REG_SP/REG' has unconnected
5514
   outp
5515
ut pin
5516
WARNING:NgdBuild:440 - FF primitive
5517
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5518
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5519
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5520
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM61REG_SP/REG' has unconnected
5521
   output pin
5522
WARNING:NgdBuild:440 - FF primitive
5523
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5524
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5525
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5526
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM62REG_SP/REG' has unconnected
5527
   output pin
5528
WARNING:NgdBuild:440 - FF primitive
5529
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5530
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5531
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5532
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM63REG_SP/REG' has unconnected
5533
   output pin
5534
WARNING:NgdBuild:440 - FF primitive
5535
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5536
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5537
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5538
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM64REG_SP/REG' has unconnected
5539
   output pin
5540
WARNING:NgdBuild:440 - FF primitive
5541
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5542
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5543
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5544
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM65REG_SP/REG' has unconnected
5545
   output pin
5546
WARNING:NgdBuild:440 - FF primitive
5547
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5548
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5549
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5550
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM66REG_SP/REG' has unconnected
5551
   output pin
5552
WARNING:NgdBuild:440 - FF primitive
5553
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5554
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5555
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5556
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM67REG_SP/REG' has unconnected
5557
   output pin
5558
WARNING:NgdBuild:440 - FF primitive
5559
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5560
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5561
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5562
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM68REG_SP/REG' has unconnected
5563
   output pin
5564
WARNING:NgdBuild:440 - FF primitive
5565
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5566
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5567
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5568
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM69REG_SP/REG' has unconnected
5569
   output pin
5570
WARNING:NgdBuild:440 - FF primitive
5571
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5572
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5573
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5574
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM70REG_SP/REG' has unconnected
5575
   output pin
5576
WARNING:NgdBuild:440 - FF primitive
5577
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5578
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5579
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5580
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM71REG_SP/REG' has unconnected
5581
   outp
5582
ut pin
5583
WARNING:NgdBuild:440 - FF primitive
5584
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5585
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5586
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5587
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM72REG_SP/REG' has unconnected
5588
   output pin
5589
WARNING:NgdBuild:440 - FF primitive
5590
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5591
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5592
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5593
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM73REG_SP/REG' has unconnected
5594
   output pin
5595
WARNING:NgdBuild:440 - FF primitive
5596
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5597
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5598
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5599
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM74REG_SP/REG' has unconnected
5600
   output pin
5601
WARNING:NgdBuild:440 - FF primitive
5602
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5603
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5604
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5605
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM75REG_SP/REG' has unconnected
5606
   output pin
5607
WARNING:NgdBuild:440 - FF primitive
5608
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5609
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5610
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5611
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM76REG_SP/REG' has unconnected
5612
   output pin
5613
WARNING:NgdBuild:440 - FF primitive
5614
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5615
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5616
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5617
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM77REG_SP/REG' has unconnected
5618
   output pin
5619
WARNING:NgdBuild:440 - FF primitive
5620
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5621
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5622
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5623
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM78REG_SP/REG' has unconnected
5624
   output pin
5625
WARNING:NgdBuild:440 - FF primitive
5626
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5627
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5628
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5629
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM79REG_SP/REG' has unconnected
5630
   output pin
5631
WARNING:NgdBuild:440 - FF primitive
5632
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5633
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5634
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5635
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM80REG_SP/REG' has unconnected
5636
   output pin
5637
WARNING:NgdBuild:440 - FF primitive
5638
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5639
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5640
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5641
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM81REG_SP/REG' has unconnected
5642
   output pin
5643
WARNING:NgdBuild:440 - FF primitive
5644
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5645
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5646
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5647
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM82REG_SP/REG' has unconnected
5648
   outp
5649
ut pin
5650
WARNING:NgdBuild:440 - FF primitive
5651
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5652
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5653
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5654
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM83REG_SP/REG' has unconnected
5655
   output pin
5656
WARNING:NgdBuild:440 - FF primitive
5657
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5658
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5659
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5660
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM84REG_SP/REG' has unconnected
5661
   output pin
5662
WARNING:NgdBuild:440 - FF primitive
5663
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5664
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5665
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5666
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM85REG_SP/REG' has unconnected
5667
   output pin
5668
WARNING:NgdBuild:440 - FF primitive
5669
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5670
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5671
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5672
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM86REG_SP/REG' has unconnected
5673
   output pin
5674
WARNING:NgdBuild:440 - FF primitive
5675
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5676
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5677
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5678
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM87REG_SP/REG' has unconnected
5679
   output pin
5680
WARNING:NgdBuild:440 - FF primitive
5681
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5682
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5683
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5684
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM88REG_SP/REG' has unconnected
5685
   output pin
5686
WARNING:NgdBuild:440 - FF primitive
5687
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5688
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5689
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5690
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM89REG_SP/REG' has unconnected
5691
   output pin
5692
WARNING:NgdBuild:440 - FF primitive
5693
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5694
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5695
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5696
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM90REG_SP/REG' has unconnected
5697
   output pin
5698
WARNING:NgdBuild:440 - FF primitive
5699
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5700
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5701
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5702
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM91REG_SP/REG' has unconnected
5703
   output pin
5704
WARNING:NgdBuild:440 - FF primitive
5705
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5706
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5707
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5708
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM92REG_SP/REG' has unconnected
5709
   output pin
5710
WARNING:NgdBuild:440 - FF primitive
5711
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5712
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5713
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5714
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM93REG_SP/REG' has unconnected
5715
   outp
5716
ut pin
5717
WARNING:NgdBuild:440 - FF primitive
5718
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5719
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5720
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5721
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM94REG_SP/REG' has unconnected
5722
   output pin
5723
WARNING:NgdBuild:440 - FF primitive
5724
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5725
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5726
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5727
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM95REG_SP/REG' has unconnected
5728
   output pin
5729
WARNING:NgdBuild:440 - FF primitive
5730
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5731
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5732
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5733
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM96REG_SP/REG' has unconnected
5734
   output pin
5735
WARNING:NgdBuild:440 - FF primitive
5736
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5737
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5738
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5739
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM97REG_SP/REG' has unconnected
5740
   output pin
5741
WARNING:NgdBuild:440 - FF primitive
5742
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5743
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5744
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5745
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM98REG_SP/REG' has unconnected
5746
   output pin
5747
WARNING:NgdBuild:440 - FF primitive
5748
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5749
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5750
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5751
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM99REG_SP/REG' has unconnected
5752
   output pin
5753
WARNING:NgdBuild:440 - FF primitive
5754
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5755
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5756
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5757
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM100REG_SP/REG' has unconnected
5758
   output pin
5759
WARNING:NgdBuild:440 - FF primitive
5760
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5761
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5762
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5763
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM101REG_SP/REG' has unconnected
5764
   output pin
5765
WARNING:NgdBuild:440 - FF primitive
5766
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5767
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5768
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5769
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM102REG_SP/REG' has unconnected
5770
   output pin
5771
WARNING:NgdBuild:440 - FF primitive
5772
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5773
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5774
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5775
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM103REG_SP/REG' has unconnected
5776
   output pin
5777
WARNING:NgdBuild:440 - FF primitive
5778
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5779
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5780
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5781
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM104REG_SP/REG' has unconnected
5782
 
5783
 output pin
5784
WARNING:NgdBuild:440 - FF primitive
5785
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5786
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5787
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5788
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM105REG_SP/REG' has unconnected
5789
   output pin
5790
WARNING:NgdBuild:440 - FF primitive
5791
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5792
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5793
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5794
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM106REG_SP/REG' has unconnected
5795
   output pin
5796
WARNING:NgdBuild:440 - FF primitive
5797
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5798
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5799
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5800
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM107REG_SP/REG' has unconnected
5801
   output pin
5802
WARNING:NgdBuild:440 - FF primitive
5803
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5804
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5805
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5806
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM108REG_SP/REG' has unconnected
5807
   output pin
5808
WARNING:NgdBuild:440 - FF primitive
5809
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5810
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5811
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5812
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM109REG_SP/REG' has unconnected
5813
   output pin
5814
WARNING:NgdBuild:440 - FF primitive
5815
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5816
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5817
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5818
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM110REG_SP/REG' has unconnected
5819
   output pin
5820
WARNING:NgdBuild:440 - FF primitive
5821
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5822
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5823
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5824
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM111REG_SP/REG' has unconnected
5825
   output pin
5826
WARNING:NgdBuild:440 - FF primitive
5827
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5828
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5829
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5830
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM112REG_SP/REG' has unconnected
5831
   output pin
5832
WARNING:NgdBuild:440 - FF primitive
5833
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5834
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5835
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5836
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM113REG_SP/REG' has unconnected
5837
   output pin
5838
WARNING:NgdBuild:440 - FF primitive
5839
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5840
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5841
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5842
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM114REG_SP/REG' has unconnected
5843
   output pin
5844
WARNING:NgdBuild:440 - FF primitive
5845
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5846
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5847
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5848
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM115REG_SP/REG' has unconnec
5849
ted
5850
   output pin
5851
WARNING:NgdBuild:440 - FF primitive
5852
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5853
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5854
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5855
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM116REG_SP/REG' has unconnected
5856
   output pin
5857
WARNING:NgdBuild:440 - FF primitive
5858
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5859
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5860
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5861
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM117REG_SP/REG' has unconnected
5862
   output pin
5863
WARNING:NgdBuild:440 - FF primitive
5864
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5865
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5866
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5867
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM118REG_SP/REG' has unconnected
5868
   output pin
5869
WARNING:NgdBuild:440 - FF primitive
5870
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5871
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5872
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5873
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM119REG_SP/REG' has unconnected
5874
   output pin
5875
WARNING:NgdBuild:440 - FF primitive
5876
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5877
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5878
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5879
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM120REG_SP/REG' has unconnected
5880
   output pin
5881
WARNING:NgdBuild:440 - FF primitive
5882
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5883
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5884
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5885
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM121REG_SP/REG' has unconnected
5886
   output pin
5887
WARNING:NgdBuild:440 - FF primitive
5888
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5889
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5890
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5891
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM122REG_SP/REG' has unconnected
5892
   output pin
5893
WARNING:NgdBuild:440 - FF primitive
5894
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5895
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5896
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5897
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM123REG_SP/REG' has unconnected
5898
   output pin
5899
WARNING:NgdBuild:440 - FF primitive
5900
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5901
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5902
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5903
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM124REG_SP/REG' has unconnected
5904
   output pin
5905
WARNING:NgdBuild:440 - FF primitive
5906
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5907
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5908
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5909
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM125REG_SP/REG' has unconnected
5910
   output pin
5911
WARNING:NgdBuild:440 - FF primitive
5912
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5913
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5914
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5915
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM126REG_SP/REG' has unconnec
5916
ted
5917
   output pin
5918
WARNING:NgdBuild:440 - FF primitive
5919
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5920
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5921
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5922
   fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM127REG_SP/REG' has unconnected
5923
   output pin
5924
WARNING:NgdBuild:440 - FF primitive
5925
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5926
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5927
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5928
   fo_v4_0/control/read_blk/read_cnt/empty_blk/empty_flag_logic/almst_flag_blk/m
5929
   odule_gen/2/carry_gen/skip_one/spacer_fdce' has unconnected output pin
5930
WARNING:NgdBuild:440 - FF primitive
5931
   'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
5932
   cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp
5933
   er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi
5934
   fo_v4_0/control/write_blk/write_cnt/full_blk/full_flag_logic/almst_flag_blk/m
5935
   odule_gen/2/carry_gen/skip_one/spacer_fdce' has unconnected output pin
5936
WARNING:NgdBuild:452 - logical net
5937
   'microblaze_0/microblaze_0/Data_Flow_I/word_r1_r2_unalignment' has no driver
5938
WARNING:NgdBuild:452 - logical net
5939
   'microblaze_0/microblaze_0/Data_Flow_I/word_r1_imm_unalignment' has no driver
5940
WARNING:NgdBuild:452 - logical net
5941
   'microblaze_0/microblaze_0/Data_Flow_I/halfword_unalignment' has no driver
5942
WARNING:NgdBuild:478 - clock net debug_module/bscan_drck1 with clock driver
5943
   debug_module/debug_module/BUFG_DRCK1 drives no clock pins
5944
 
5945
NGDBUILD Design Results Summary:
5946
  Number of errors:     0
5947
  Number of warnings: 140
5948
 
5949
Writing NGD file "system.ngd" ...
5950
 
5951
Writing NGDBUILD log file "system.bld"...
5952
 
5953
NGDBUILD done.
5954
 
5955
 
5956
 
5957
#----------------------------------------------#
5958
# Starting program map
5959
# map -o system_map.ncd -pr b system.ngd system.pcf
5960
#----------------------------------------------#
5961
Release 7.1.02i - Map H.42
5962
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
5963
Using target part "2vp30ff896-7".
5964
Mapping design into LUTs...
5965
Writing file system_map.ngm...
5966
Running directed packing...
5967
Running delay-based LUT packing...
5968
Running related packing...
5969
Writing design file "system_map.ncd"...
5970
 
5971
Design Summary:
5972
Number of errors:      0
5973
Number of warnings:    8
5974
Logic Utilization:
5975
  Number of Slice Flip Flops:       1,541 out of  27,392    5%
5976
  Number of 4 input LUTs:           1,804 out of  27,392    6%
5977
Logic Distribution:
5978
  Number of occupied Slices:        1,730 out of  13,696   12%
5979
  Number of Slices containing only related logic:   1,730 out of   1,730  100%
5980
  Number of Slices containing unrelated logic:          0 out of   1,730    0%
5981
        *See NOTES below for an explanation of the effects of unrelated logic
5982
Total Number 4 input LUTs:          2,502 out of  27,392    9%
5983
  Number used as logic:             1,804
5984
  Number used as a route-thru:         22
5985
  Number used for Dual Port RAMs:     512
5986
    (Two LUTs used per Dual Port RAM)
5987
  Number used as Shift registers:     164
5988
 
5989
  Number of bonded IOBs:              139 out of     556   25%
5990
    IOB Flip Flops:                   288
5991
    IOB Dual-Data Rate Flops:          87
5992
  Number of PPC405s:                   0 out of       2    0%
5993
  Number of Block RAMs:                32 out of     136   23%
5994
  Number of MULT18X18s:                 3 out of     136    2%
5995
  Number of GCLKs:                      5 out of      16   31%
5996
  Number of DCMs:                       2 out of       8   25%
5997
  Number of BSCANs:                     1 out of       1  100%
5998
  Number of GTs:                        0 out of       8    0%
5999
  Number of GT10s:                      0 out of       0    0%
6000
 
6001
   Number of RPM macros:            5
6002
Total equivalent gate count for design:  2,228,903
6003
Additional JTAG gate count for IOBs:  6,672
6004
Peak Memory Usage:  201 MB
6005
 
6006
NOTES:
6007
 
6008
   Related logic is defined as being logic that shares connectivity - e.g. two
6009
   LUTs are "related" if they share common inputs.  When assembling slices,
6010
   Map gives priority to combine logic that is related.  Doing so results in
6011
   the best timing performance.
6012
 
6013
   Unrelated logic shares no connectivity.  Map will only begin packing
6014
   unrelated logic into a slice once 99% of the slices are occupied through
6015
   related logic packing.
6016
 
6017
   Note that once logic distribution reaches the 99% level through related
6018
   logic packing, this does not mean the device is completely utilized.
6019
   Unrelated logic packing will then begin, continuing until all usable LUTs
6020
   and FFs are occupied.  Depending on your timing budget, increased levels of
6021
   unrelated logic packing may adversely affect the overall timing performance
6022
   of your design.
6023
 
6024
Mapping completed.
6025
See MAP report file "system_map.mrp" for details.
6026
 
6027
 
6028
 
6029
#----------------------------------------------#
6030
# Starting program par
6031
# par -w -ol high system_map.ncd system.ncd system.pcf
6032
#----------------------------------------------#
6033
Release 7.1.02i - par H.42
6034
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
6035
 
6036
 
6037
 
6038
Constraints file: system.pcf.
6039
WARNING:Par:331 - You are using an evaluation version of Xilinx Software. In 17
6040
   days, this program will not operate. For more information about this product,
6041
   please refer to the Evaluation Agreement, which was shipped to you along with
6042
   the Evaluation CDs.
6043
   To purchase an annual license for this software, please contact your local
6044
   Field Applications Engineer (FAE) or salesperson. If you have any questions,
6045
   or if we can assist in any way, please send an email to: eval@xilinx.com
6046
   Thank You!
6047
Loading device for application Rf_Device from file '2vp30.nph' in environment
6048
c:/Xilinx.
6049
   "system" is an NCD, version 3.1, device xc2vp30, package ff896, speed -7
6050
 
6051
Initializing temperature to 85.000 Celsius. (default - Range: -40.000 to 100.000
6052
Celsius)
6053
Initializing voltage to 1.500 Volts. (default - Range: 1.400 to 1.600 Volts)
6054
 
6055
Device speed data version:  "PRODUCTION 1.91 2005-07-22".
6056
 
6057
 
6058
Device Utilization Summary:
6059
 
6060
   Number of BSCANs                    1 out of 1     100%
6061
   Number of BUFGMUXs                  5 out of 16     31%
6062
   Number of DCMs                      2 out of 8      25%
6063
   Number of External IOBs           139 out of 556    25%
6064
      Number of LOCed IOBs           139 out of 139   100%
6065
 
6066
   Number of MULT18X18s                3 out of 136     2%
6067
   Number of RAMB16s                  32 out of 136    23%
6068
   Number of SLICEs                 1730 out of 13696  12%
6069
 
6070
 
6071
Overall effort level (-ol):   High (set by user)
6072
Placer effort level (-pl):    High (set by user)
6073
Placer cost table entry (-t): 1
6074
Router effort level (-rl):    High (set by user)
6075
 
6076
Starting initial Timing Analysis.  REAL time: 7 secs
6077
Finished initial Timing Analysis.  REAL time: 7 secs
6078
 
6079
 
6080
Starting Placer
6081
 
6082
Phase 1.1
6083
Phase 1.1 (Checksum:9c2fff) REAL time: 9 secs
6084
 
6085
Phase 2.31
6086
Phase 2.31 (Checksum:1312cfe) REAL time: 9 secs
6087
 
6088
WARNING:Place:414 - The input design contains local clock signal(s). To get the
6089
   better result, we recommend users run map with the "-timing" option set
6090
   before starting the placement.
6091
Phase 3.2
6092
.
6093
.....
6094
 
6095
 
6096
Phase 3.2 (Checksum:98de91) REAL time: 16 secs
6097
 
6098
Phase 4.30
6099
Phase 4.30 (Checksum:26259fc) REAL time: 16 secs
6100
 
6101
Phase 5.3
6102
Phase 5.3 (Checksum:2faf07b) REAL time: 16 secs
6103
 
6104
Phase 6.5
6105
Phase 6.5 (Checksum:39386fa) REAL time: 16 secs
6106
 
6107
Phase 7.8
6108
................
6109
.......
6110
.......
6111
....
6112
................
6113
.......
6114
...
6115
.......
6116
Phase 7.8 (Checksum:f667c5) REAL time: 29 secs
6117
 
6118
Phase 8.5
6119
Phase 8.5 (Checksum:4c4b3f8) REAL time: 29 secs
6120
 
6121
Phase 9.18
6122
Phase 9.18 (Checksum:55d4a77) REAL time: 36 secs
6123
 
6124
Phase 10.5
6125
Phase 10.5 (Checksum:5f5e0f6) REAL time: 36 secs
6126
 
6127
Phase 11.27
6128
Phase 11.27 (Checksum:68e7775) REAL time: 38 secs
6129
 
6130
Phase 12.24
6131
Phase 12.24 (Checksum:7270df4) REAL time: 38 secs
6132
Writing design to file system.ncd
6133
 
6134
 
6135
Total REAL time to Placer completion: 40 secs
6136
Total CPU time to Placer completion: 38 secs
6137
 
6138
Starting Router
6139
Phase 1: 18351 unrouted;       REAL time: 53 secs
6140
Phase 2: 16337 unrouted;       REAL time: 54 secs
6141
Phase 3: 4435 unrouted;       REAL time: 57 secs
6142
 
6143
Phase 4: 4435 unrouted; (9599)      REAL time: 58 secs
6144
 
6145
Phase 5: 4440 unrouted; (5758)      REAL time: 59 secs
6146
Phase 6: 4440 unrouted; (0)      REAL time: 1 mins
6147
Phase 7: 0 unrouted; (0)      REAL time: 1 mins 12 secs
6148
Phase 8: 0 unrouted; (0)      REAL time: 1 mins 15 secs
6149
 
6150
Total REAL time to Router completion: 1 mins 19 secs
6151
Total CPU time to Router completion: 1 mins 15 secs
6152
 
6153
Generating "PAR" statistics.
6154
 
6155
**************************
6156
Generating Clock Report
6157
**************************
6158
 
6159
+---------------------+--------------+------+------+------------+-------------+
6160
|        Clock Net    |   Resource   |Locked|Fanout|Net Skew(ns)|Max Delay(ns)|
6161
+---------------------+--------------+------+------+------------+-------------+
6162
|  dlmb_port_BRAM_Clk |     BUFGMUX5S| No   | 1254 |  0.280     |  1.257      |
6163
+---------------------+--------------+------+------+------------+-------------+
6164
|           DBG_CLK_s |     BUFGMUX4P| No   |  139 |  0.279     |  1.257      |
6165
+---------------------+--------------+------+------+------------+-------------+
6166
|        ddr_clk_90_s |     BUFGMUX3P| No   |  275 |  0.154     |  1.257      |
6167
+---------------------+--------------+------+------+------------+-------------+
6168
|            clk_90_s |     BUFGMUX0P| No   |   38 |  0.140     |  1.257      |
6169
+---------------------+--------------+------+------+------------+-------------+
6170
|fpga_0_SysACE_Compac |              |      |      |            |             |
6171
|   tFlash_SysACE_CLK |         Local|      |   65 |  0.276     |  2.478      |
6172
+---------------------+--------------+------+------+------------+-------------+
6173
|debug_module/bscan_u |              |      |      |            |             |
6174
|               pdate |         Local|      |    1 |  0.000     |  0.356      |
6175
+---------------------+--------------+------+------+------------+-------------+
6176
 
6177
Timing Score: 0
6178
Asterisk (*) preceding a constraint indicates it was not met.
6179
   This may be due to a setup or hold violation.
6180
 
6181
--------------------------------------------------------------------------------
6182
  Constraint                                | Requested  | Actual     | Logic
6183
                                            |            |            | Levels
6184
--------------------------------------------------------------------------------
6185
  NET "fpga_0_SysACE_CompactFlash_SysACE_CL | 30.000ns   | 5.134ns    | 2
6186
  K" PERIOD = 30 ns HIGH 50%                |            |            |
6187
--------------------------------------------------------------------------------
6188
  TSCLK2CLK90_DDR_256MB_32MX64_rank1_row13_ | 2.500ns    | 2.171ns    | 0
6189
  col10_cl2_5 = MAXDELAY FROM TIMEGRP       |            |            |
6190
     "OPB_Clk_DDR_256MB_32MX64_rank1_row13_ |            |            |
6191
  col10_cl2_5" TO TIMEGRP         "Device_C |            |            |
6192
  lk90_in_DDR_256MB_32MX64_rank1_row13_col1 |            |            |
6193
  0_cl2_5" 2.5 ns                           |            |            |
6194
--------------------------------------------------------------------------------
6195
  TS_sys_clk_pin = PERIOD TIMEGRP "sys_clk_ | N/A        | N/A        | N/A
6196
  pin" 10 ns HIGH 50%                       |            |            |
6197
--------------------------------------------------------------------------------
6198
  TS_dcm_0_dcm_0_CLK0_BUF = PERIOD TIMEGRP  | 10.000ns   | 9.912ns    | 16
6199
  "dcm_0_dcm_0_CLK0_BUF" TS_sys_clk_pin     |            |            |
6200
       HIGH 50%                             |            |            |
6201
--------------------------------------------------------------------------------
6202
  TS_dcm_0_dcm_0_CLK90_BUF = PERIOD TIMEGRP | 10.000ns   | 5.846ns    | 0
6203
   "dcm_0_dcm_0_CLK90_BUF"         TS_sys_c |            |            |
6204
  lk_pin PHASE 2.5 ns HIGH 50%              |            |            |
6205
--------------------------------------------------------------------------------
6206
 
6207
 
6208
All constraints were met.
6209
INFO:Timing:2761 - N/A entries in the Constraints list may indicate that the
6210
   constraint does not cover any paths or that it has no requested value.
6211
Generating Pad Report.
6212
 
6213
All signals are completely routed.
6214
 
6215
Total REAL time to PAR completion: 1 mins 23 secs
6216
Total CPU time to PAR completion: 1 mins 19 secs
6217
 
6218
Peak Memory Usage:  242 MB
6219
 
6220
Placement: Completed - No errors found.
6221
Routing: Completed - No errors found.
6222
Timing: Completed - No errors found.
6223
 
6224
Number of error messages: 0
6225
Number of warning messages: 2
6226
Number of info messages: 0
6227
 
6228
Writing design to file system.ncd
6229
 
6230
 
6231
PAR done!
6232
 
6233
 
6234
 
6235
#----------------------------------------------#
6236
# Starting program post_par_trce
6237
# trce -e 3 -xml system.twx system.ncd system.pcf
6238
#----------------------------------------------#
6239
Release 7.1.02i - Trace H.42
6240
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
6241
 
6242
 
6243
Loading device for application Rf_Device from file '2vp30.nph' in environment
6244
c:/Xilinx.
6245
   "system" is an NCD, version 3.1, device xc2vp30, package ff896, speed -7
6246
--------------------------------------------------------------------------------
6247
Release 7.1.02i Trace H.42
6248
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
6249
 
6250
trce -e 3 -xml system.twx system.ncd system.pcf
6251
 
6252
 
6253
Design file:              system.ncd
6254
Physical constraint file: system.pcf
6255
Device,speed:             xc2vp30,-7 (PRODUCTION 1.91 2005-07-22)
6256
Report level:             error report
6257
--------------------------------------------------------------------------------
6258
 
6259
INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths
6260
   option. All paths that are not constrained will be reported in the
6261
   unconstrained paths section(s) of the report.
6262
 
6263
 
6264
Timing summary:
6265
---------------
6266
 
6267
Timing errors: 0  Score: 0
6268
 
6269
Constraints cover 299723 paths, 0 nets, and 14046 connections
6270
 
6271
Design statistics:
6272
   Minimum period:   9.912ns (Maximum frequency: 100.888MHz)
6273
   Maximum path delay from/to any node:   2.171ns
6274
 
6275
 
6276
Analysis completed Wed Nov 01 19:44:56 2006
6277
--------------------------------------------------------------------------------
6278
 
6279
Generating Report ...
6280
 
6281
Number of warnings: 0
6282
Number of info messages: 1
6283
Total time: 10 secs
6284
 
6285
 
6286
xflow done!
6287
cd implementation; bitgen -w -f bitgen.ut system
6288
Release 7.1.02i - Bitgen H.42
6289
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
6290
Loading device for application Rf_Device from file '2vp30.nph' in environment
6291
c:/Xilinx.
6292
   "system" is an NCD, version 3.1, device xc2vp30, package ff896, speed -7
6293
Opened constraints file system.pcf.
6294
 
6295
Wed Nov 01 19:45:02 2006
6296
 
6297
Running DRC.
6298
WARNING:PhysDesignRules:367 - The signal  is
6299
   incomplete. The signal does not drive any load pins in the design.
6300
DRC detected 0 errors and 1 warnings.
6301
Creating bit map...
6302
Saving bit stream in "system.bit".
6303
Creating bit mask...
6304
Saving mask bit stream in "system.msk".
6305
Bitstream generation is complete.
6306
mb-gcc -O2 mb-bmp2jpg/bmp2jpg.c mb-bmp2jpg/ColorConversion.c mb-bmp2jpg/dct.c mb-bmp2jpg/huffman.c mb-bmp2jpg/jpeg.c mb-bmp2jpg/xupv2p.c mb-bmp2jpg/zzq.c  -o mb-bmp2jpg/executable.elf \
6307
   -mno-xl-soft-mul     -Wl,-T -Wl,mb-bmp2jpg_linker_script    -I./microblaze_0/include/  -Imb-bmp2jpg/  -L./microblaze_0/lib/  \
6308
-xl-mode-executable  \
6309
-D__XUPV2P
6310
mb-bmp2jpg/huffman.c: In function `HuffmanEncodeFinishSend':
6311
mb-bmp2jpg/huffman.c:285: warning: comparison is always true due to limited range of data type
6312
mb-size mb-bmp2jpg/executable.elf
6313
   text    data     bss     dec     hex filename
6314
  25544    5161    7892   38597    96c5 mb-bmp2jpg/executable.elf
6315
*********************************************
6316
Initializing BRAM contents of the bitstream
6317
*********************************************
6318
bitinit system.mhs -lp D:/XilinxXUP/lib/ -pe microblaze_0 mb-bmp2jpg/executable.elf  \
6319
-bt implementation/system.bit -o implementation/download.bit
6320
 
6321
bitinit version Xilinx EDK 7.1.2 Build EDK_H.12.5.1
6322
Copyright (c) Xilinx Inc. 2002.
6323
 
6324
Parsing MHS File system.mhs...
6325
Sourcing tcl file
6326
C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0.
6327
tcl ...
6328
Sourcing tcl file
6329
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl
6330
...
6331
Sourcing tcl file
6332
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if
6333
_cntlr_v2_1_0.tcl ...
6334
Sourcing tcl file
6335
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0.
6336
tcl ...
6337
Sourcing tcl file
6338
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_ddr_v2_00_b/data/opb_ddr_v2_1_0.tcl
6339
...
6340
 
6341
Overriding IP level properties ...
6342
microblaze (microblaze_0) -
6343
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
6344
mpd:60 - tool overriding c_family value virtex2 to
6345
microblaze (microblaze_0) -
6346
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
6347
mpd:61 - tool overriding c_instance value microblaze to microblaze_0
6348
microblaze (microblaze_0) -
6349
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
6350
mpd:90 - tcl overriding C_ADDR_TAG_BITS value 17 to 0
6351
microblaze (microblaze_0) -
6352
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
6353
mpd:97 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0
6354
opb_mdm (debug_module) -
6355
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd:38
6356
- tool overriding c_family value virtex2 to
6357
bram_block (lmb_bram) -
6358
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
6359
mpd:39 - tool overriding c_family value virtex2 to
6360
opb_ddr (ddr_256mb_32mx64_rank1_row13_col10_cl2_5) -
6361
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_ddr_v2_00_b\data\opb_ddr_v2_1_0.mpd:42
6362
- tool overriding c_family value virtex2 to
6363
dcm_module (dcm_0) -
6364
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
6365
mpd:56 - tool overriding c_family value virtex2 to
6366
dcm_module (dcm_1) -
6367
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
6368
mpd:56 - tool overriding c_family value virtex2 to
6369
 
6370
Performing IP level DRCs on properties...
6371
 
6372
Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC...
6373
Address Map for Processor microblaze_0
6374
  (0x00000000-0x0000ffff) dlmb_cntlr    dlmb
6375
  (0x00000000-0x0000ffff) ilmb_cntlr    ilmb
6376
  (0x30000000-0x3fffffff) DDR_256MB_32MX64_rank1_row13_col10_cl2_5      mb_opb
6377
  (0x40600000-0x4060ffff) RS232_Uart_1  mb_opb
6378
  (0x41400000-0x4140ffff) debug_module  mb_opb
6379
  (0x41800000-0x4180ffff) SysACE_CompactFlash   mb_opb
6380
 
6381
Initializing Memory...
6382
Checking ELFs associated with MICROBLAZE instance microblaze_0 for overlap...
6383
 
6384
 
6385
Analyzing file mb-bmp2jpg/executable.elf...
6386
INFO:MDT - BRAM lmb_bram will be initialized with ELF of processor microblaze_0
6387
Running Data2Mem with the following command:
6388
data2mem -bm implementation/system_bd -bt implementation/system.bit  -bd
6389
mb-bmp2jpg/executable.elf tag lmb_bram  -o b implementation/download.bit
6390
Memory Initialization completed successfully.
6391
Done.
6392
No changes to be saved in XMP file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.