OpenCores
URL https://opencores.org/ocsvn/mcip_open/mcip_open/trunk

Subversion Repositories mcip_open

[/] [mcip_open/] [trunk/] [MCIPopen_XilinxISEproject/] [LCDapp_MCIPopen.ucf] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 mezzah
 
2
NET "clk50MHz" LOC = "C9" | IOSTANDARD = LVCMOS33 ;
3
# Define clock period for 50 MHz oscillator
4
NET "clk50MHz" PERIOD = 20.0ns HIGH 40%;
5
 
6
NET "reset" LOC = "K17" | IOSTANDARD = LVTTL | PULLDOWN ;
7
#NET "clock_out" LOC = D7;
8
 
9
NET "PORTB[7]" LOC = "F9" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8 ;
10
NET "PORTB[6]" LOC = "E9" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8 ;
11
NET "PORTB[5]" LOC = "D11" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8 ;
12
NET "PORTB[4]" LOC = "C11" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8 ;
13
NET "PORTB[3]" LOC = "F11" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8 ;
14
NET "PORTB[2]" LOC = "E11" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8 ;
15
NET "PORTB[1]" LOC = "E12" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8 ;
16
NET "PORTB[0]" LOC = "F12" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8 ;
17
 
18
NET "PORTA[0]" LOC = "L13" | IOSTANDARD = LVTTL | PULLUP ;
19
NET "PORTA[1]" LOC = "L14" | IOSTANDARD = LVTTL | PULLUP ;
20
NET "PORTA[2]" LOC = "H18" | IOSTANDARD = LVTTL | PULLUP ;
21
NET "PORTA[3]" LOC = "N17" | IOSTANDARD = LVTTL | PULLUP ;
22
 
23
NET "PORTC[4]" LOC = "R15" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
24
NET "PORTC[5]" LOC = "R16" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
25
NET "PORTC[6]" LOC = "P17" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
26
NET "PORTC[7]" LOC = "M15" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
27
 
28
NET "PORTD[7]" LOC = "M18" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
29
NET "PORTD[6]" LOC = "L18" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
30
NET "PORTD[5]" LOC = "L17" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
31
 
32
#NET "PORTA[7]" LOC = C5;
33
#NET "PORTA[6]" LOC = D5;
34
#NET "PORTA[5]" LOC = A4;
35
#NET "PORTA[4]" LOC = B4;
36
 
37
#NET "PORTC[3]" LOC = F7;
38
#NET "PORTC[2]" LOC = E7;
39
#NET "PORTC[1]" LOC = B6;
40
#NET "PORTC[0]" LOC = A6;
41
 
42
#NET "PORTD[4]" LOC = A13;
43
#NET "PORTD[3]" LOC = B13;
44
#NET "PORTD[2]" LOC = A14;
45
#NET "PORTD[1]" LOC = B14;
46
#NET "PORTD[0]" LOC = C14;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.