OpenCores
URL https://opencores.org/ocsvn/mcip_open/mcip_open/trunk

Subversion Repositories mcip_open

[/] [mcip_open/] [trunk/] [MCIPopen_XilinxISEproject/] [Multiplier.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 mezzah
--------------------------------------------------------------------------------
2
-- Company:        Ferhat Abbas University - Algeria
3
-- Engineer:       Ibrahim MEZZAH
4
-- Progect Supervisor: Dr H. Chemali
5
-- Create Date:    14:43:38 05/24/05
6
-- Design Name:    Hard multiplier
7
-- Module Name:    Multiplier - Multiply
8
-- Project Name:   Microcontroller IP (MCIP)
9
-- Target Device:  xc3s500e-4fg320
10
-- Tool versions:  Xilinx ISE 9.1.03i
11
-- Description:  This is a 8x8 multiplier.
12
-- Revision:             07/07/2008
13
-- Revision  1
14
--------------------------------------------------------------------------------
15
library IEEE;
16
use IEEE.STD_LOGIC_1164.ALL;
17
use IEEE.STD_LOGIC_UNSIGNED.ALL;
18
 
19
entity Multiplier is
20
    Port ( Q          : in std_logic;
21
           MUL_enable : in std_logic;
22
           a          : in std_logic_vector(7 downto 0);
23
           b          : in std_logic_vector(7 downto 0);
24
                          PROD       : out std_logic_vector(15 downto 0));
25
end Multiplier;
26
 
27
architecture Multiply of Multiplier is
28
 
29
begin
30
 
31
        process(Q, a, b)
32
          begin
33
                  if (rising_edge(Q) and MUL_enable = '1') then
34
                          PROD <= a*b;
35
                  end if;
36
          end process;
37
 
38
end Multiply;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.