OpenCores
URL https://opencores.org/ocsvn/mcip_open/mcip_open/trunk

Subversion Repositories mcip_open

[/] [mcip_open/] [trunk/] [MCIPopen_XilinxISEproject/] [Program_Memory_Controller.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 mezzah
----------------------------------------------------------------------------------
2
-- Company:        Ferhat Abbas University - Algeria
3
-- Engineer:       Ibrahim MEZZAH
4
-- 
5
-- Create Date:    16:16:00 06/17/2013 
6
-- Design Name: 
7
-- Module Name:    Program_Memory_Controller - Behavioral 
8
-- Project Name: 
9
-- Target Devices: 
10
-- Tool versions: 
11
-- Description: 
12
--
13
-- Dependencies: 
14
--
15
-- Revision: 
16
-- Revision 0.01 - File Created
17
-- Additional Comments: 
18
--
19
----------------------------------------------------------------------------------
20
library IEEE;
21
use IEEE.STD_LOGIC_1164.ALL;
22
use IEEE.STD_LOGIC_UNSIGNED.ALL;
23
 
24
 
25
entity Program_Memory_Controller is
26
         Generic (IAlength              : integer := 20; -- min = 2, max = 21
27
                                 pm_TOPaddr             : std_logic_vector(20 downto 0) := '0'&x"0FFFF");
28
    Port ( Prg_addr                     : in std_logic_vector(20 downto 0);
29
                          Instruction           : out std_logic_vector(15 downto 0);
30
 
31
                          eff_Prg_addr          : out std_logic_vector(IAlength-1 downto 0);
32
                          Prg_memory_bus        : in std_logic_vector(15 downto 0));
33
end Program_Memory_Controller;
34
 
35
architecture Behavioral of Program_Memory_Controller is
36
 
37
        signal over_address : std_logic;
38
 
39
begin
40
 
41
        over_address <= '1'                             when Prg_addr > pm_TOPaddr else
42
                                                 '0';
43
 
44
        eff_Prg_addr <= Prg_addr(IALength-1 downto 0);
45
 
46
        Instruction <= X"0000"                  when over_address = '1' else -- NOP
47
                                                Prg_memory_bus;
48
 
49
end Behavioral;
50
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.