OpenCores
URL https://opencores.org/ocsvn/mcip_open/mcip_open/trunk

Subversion Repositories mcip_open

[/] [mcip_open/] [trunk/] [MCIPopen_XilinxISEproject/] [Selection_block.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 mezzah
--------------------------------------------------------------------------------
2
-- Company:        Ferhat Abbas University - Algeria
3
-- Engineer:       Ibrahim MEZZAH
4
-- Progect Supervisor: Dr H. Chemali
5
-- Create Date:    01:01:08 08/01/05
6
-- Design Name:    Block select block
7
-- Module Name:    Select_bloc - selection
8
-- Project Name:   Microcontroller IP (MCIP)
9
-- Target Device:  xc3s500e-4fg320
10
-- Tool versions:  Xilinx ISE 9.1.03i
11
-- Description:  This module activate the block selected by the instruction
12
--                                               for read or write operations.
13
-- Revision:             07/07/2008
14
-- Revision  1.2 - Add description
15
--------------------------------------------------------------------------------
16
library IEEE;
17
use IEEE.STD_LOGIC_1164.ALL;
18
 
19
entity Selection_block is
20
    Port ( R_W        : in std_logic_vector(1 downto 0);
21
                          Address    : in  std_logic_vector(11 downto 0);
22
                          r_w_ram    : out std_logic_vector(1 downto 0);
23
                          r_w_add_pr : out std_logic_vector(1 downto 0);
24
--                        r_w_pc     : out std_logic_vector(1 downto 0);
25
                          r_w_opu    : out std_logic_vector(1 downto 0);
26
                          r_w_port   : out std_logic_vector(1 downto 0);
27
                          r_w_dec    : out std_logic_vector(1 downto 0);
28
--                        r_w_tmr0   : out std_logic_vector(1 downto 0);
29
--                        r_w_tmr1   : out std_logic_vector(1 downto 0);
30
--                        r_w_tbr    : out std_logic_vector(1 downto 0);
31
                          r_w_wdt    : out std_logic_vector(1 downto 0) );
32
end Selection_block;
33
 
34
architecture selection of Selection_block is
35
 
36
begin
37
 
38
        process(Address, R_W)
39
                begin
40
                  case Address is
41
                         -- address provider
42
--                       when X"EA" => r_w_add_pr <= R_W; -- FSR0H
43
--                                                              r_w_pc     <= "00";
44
--                                                              r_w_opu     <= "00";
45
--                                                              r_w_port   <= "00";
46
--                                                              r_w_dec    <= "00";
47
--                                                              r_w_tmr0   <= "00";
48
--                                                              r_w_tmr1   <= "00";
49
--                                                              r_w_wdt    <= "00";
50
--                                                              r_w_tbr    <= "00";
51
--                                                              r_w_ram    <= "00";
52
--                       when X"E9" => r_w_add_pr <= R_W; -- FSR0L
53
--                                                              r_w_pc     <= "00";
54
--                                                              r_w_opu     <= "00";
55
--                                                              r_w_port   <= "00";
56
--                                                              r_w_dec    <= "00";
57
--                                                              r_w_tmr0   <= "00";
58
--                                                              r_w_tmr1   <= "00";
59
--                                                              r_w_wdt    <= "00";
60
--                                                              r_w_tbr    <= "00";
61
--                                                              r_w_ram    <= "00";
62
--                       when X"E2" => r_w_add_pr <= R_W; -- FSR1H
63
--                                                              r_w_pc     <= "00";
64
--                                                              r_w_opu     <= "00";
65
--                                                              r_w_port   <= "00";
66
--                                                              r_w_dec    <= "00";
67
--                                                              r_w_tmr0   <= "00";
68
--                                                              r_w_tmr1   <= "00";
69
--                                                              r_w_wdt    <= "00";
70
--                                                              r_w_tbr    <= "00";
71
--                                                              r_w_ram    <= "00";
72
--                       when X"E1" => r_w_add_pr <= R_W; -- FSR1L
73
--                                                              r_w_pc     <= "00";
74
--                                                              r_w_opu     <= "00";
75
--                                                              r_w_port   <= "00";
76
--                                                              r_w_dec    <= "00";
77
--                                                              r_w_tmr0   <= "00";
78
--                                                              r_w_tmr1   <= "00";
79
--                                                              r_w_wdt    <= "00";
80
--                                                              r_w_tbr    <= "00";
81
--                                                              r_w_ram    <= "00";
82
                         when X"FE0" => r_w_add_pr <= R_W; -- BSR
83
--                                                              r_w_pc     <= "00";
84
                                                                r_w_opu     <= "00";
85
                                                                r_w_port   <= "00";
86
                                                                r_w_dec    <= "00";
87
--                                                              r_w_tmr0   <= "00";
88
--                                                              r_w_tmr1   <= "00";
89
                                                                r_w_wdt    <= "00";
90
--                                                              r_w_tbr    <= "00";
91
                                                                r_w_ram    <= "00";
92
--                       when X"DA" => r_w_add_pr <= R_W; -- FSR2H
93
--                                                              r_w_pc     <= "00";
94
--                                                              r_w_opu     <= "00";
95
--                                                              r_w_port   <= "00";
96
--                                                              r_w_dec    <= "00";
97
--                                                              r_w_tmr0   <= "00";
98
--                                                              r_w_tmr1   <= "00";
99
--                                                              r_w_wdt    <= "00";
100
--                                                              r_w_tbr    <= "00";
101
--                                                              r_w_ram    <= "00";
102
--                       when X"D9" => r_w_add_pr <= R_W; -- FSR2L
103
--                                                              r_w_pc     <= "00";
104
--                                                              r_w_opu     <= "00";
105
--                                                              r_w_port   <= "00";
106
--                                                              r_w_dec    <= "00";
107
--                                                              r_w_tmr0   <= "00";
108
--                                                              r_w_tmr1   <= "00";
109
--                                                              r_w_wdt    <= "00";
110
--                                                              r_w_tbr    <= "00";
111
--                                                              r_w_ram    <= "00";
112
                         -- program counter
113
--                       when X"FF" => r_w_pc     <= R_W; -- POSU
114
--                                                              r_w_add_pr <= "00";
115
--                                                              r_w_opu     <= "00";
116
--                                                              r_w_port   <= "00";
117
--                                                              r_w_dec    <= "00";
118
--                                                              r_w_tmr0   <= "00";
119
--                                                              r_w_tmr1   <= "00";
120
--                                                              r_w_wdt    <= "00";
121
--                                                              r_w_tbr    <= "00";
122
--                                                              r_w_ram    <= "00";
123
--                       when X"FE" => r_w_pc     <= R_W; -- TOSH
124
--                                                              r_w_add_pr <= "00";
125
--                                                              r_w_opu     <= "00";
126
--                                                              r_w_port   <= "00";
127
--                                                              r_w_dec    <= "00";
128
--                                                              r_w_tmr0   <= "00";
129
--                                                              r_w_tmr1   <= "00";
130
--                                                              r_w_wdt    <= "00";
131
--                                                              r_w_tbr    <= "00";
132
--                                                              r_w_ram    <= "00";
133
--                       when X"FD" => r_w_pc     <= R_W; -- TOSL
134
--                                                              r_w_add_pr <= "00";
135
--                                                              r_w_opu     <= "00";
136
--                                                              r_w_port   <= "00";
137
--                                                              r_w_dec    <= "00";
138
--                                                              r_w_tmr0   <= "00";
139
--                                                              r_w_tmr1   <= "00";
140
--                                                              r_w_wdt    <= "00";
141
--                                                              r_w_tbr    <= "00";
142
--                                                              r_w_ram    <= "00";
143
--                       when X"FC" => r_w_pc     <= R_W; -- STKPTR
144
--                                                              r_w_add_pr <= "00";
145
--                                                              r_w_opu     <= "00";
146
--                                                              r_w_port   <= "00";
147
--                                                              r_w_dec    <= "00";
148
--                                                              r_w_tmr0   <= "00";
149
--                                                              r_w_tmr1   <= "00";
150
--                                                              r_w_wdt    <= "00";
151
--                                                              r_w_tbr    <= "00";
152
--                                                              r_w_ram    <= "00";
153
--                       when X"FB" => r_w_pc     <= R_W; -- PCLATU
154
--                                                              r_w_add_pr <= "00";
155
--                                                              r_w_opu     <= "00";
156
--                                                              r_w_port   <= "00";
157
--                                                              r_w_dec    <= "00";
158
--                                                              r_w_tmr0   <= "00";
159
--                                                              r_w_tmr1   <= "00";
160
--                                                              r_w_wdt    <= "00";
161
--                                                              r_w_tbr    <= "00";
162
--                                                              r_w_ram    <= "00";
163
--                       when X"FA" => r_w_pc     <= R_W; -- PCLATH
164
--                                                              r_w_add_pr <= "00";
165
--                                                              r_w_opu     <= "00";
166
--                                                              r_w_port   <= "00";
167
--                                                              r_w_dec    <= "00";
168
--                                                              r_w_tmr0   <= "00";
169
--                                                              r_w_tmr1   <= "00";
170
--                                                              r_w_wdt    <= "00";
171
--                                                              r_w_tbr    <= "00";
172
--                                                              r_w_ram    <= "00";
173
--                       when X"F9" => r_w_pc     <= R_W; -- PCL
174
--                                                              r_w_add_pr <= "00";
175
--                                                              r_w_opu     <= "00";
176
--                                                              r_w_port   <= "00";
177
--                                                              r_w_dec    <= "00";
178
--                                                              r_w_tmr0   <= "00";
179
--                                                              r_w_tmr1   <= "00";
180
--                                                              r_w_wdt    <= "00";
181
--                                                              r_w_tbr    <= "00";
182
--                                                              r_w_ram    <= "00";
183
                         -- calcul unit
184
                         when X"FF4" => r_w_opu     <= R_W; -- PRODH
185
                                                                r_w_add_pr <= "00";
186
--                                                              r_w_pc     <= "00";
187
                                                                r_w_port   <= "00";
188
                                                                r_w_dec    <= "00";
189
--                                                              r_w_tmr0   <= "00";
190
--                                                              r_w_tmr1   <= "00";
191
                                                                r_w_wdt    <= "00";
192
--                                                              r_w_tbr    <= "00";
193
                                                                r_w_ram    <= "00";
194
                         when X"FF3" => r_w_opu     <= R_W; -- PRODL
195
                                                                r_w_add_pr <= "00";
196
--                                                              r_w_pc     <= "00";
197
                                                                r_w_port   <= "00";
198
                                                                r_w_dec    <= "00";
199
--                                                              r_w_tmr0   <= "00";
200
--                                                              r_w_tmr1   <= "00";
201
                                                                r_w_wdt    <= "00";
202
--                                                              r_w_tbr    <= "00";
203
                                                                r_w_ram    <= "00";
204
                         when X"FE8" => r_w_opu     <= R_W; -- WREG
205
                                                                r_w_add_pr <= "00";
206
--                                                              r_w_pc     <= "00";
207
                                                                r_w_port   <= "00";
208
                                                                r_w_dec    <= "00";
209
--                                                              r_w_tmr0   <= "00";
210
--                                                              r_w_tmr1   <= "00";
211
                                                                r_w_wdt    <= "00";
212
--                                                              r_w_tbr    <= "00";
213
                                                                r_w_ram    <= "00";
214
                         -- port
215
                         when X"F95" => r_w_port   <= R_W; -- TRISD
216
                                                                r_w_add_pr <= "00";
217
--                                                              r_w_pc     <= "00";
218
                                                                r_w_opu     <= "00";
219
                                                                r_w_dec    <= "00";
220
--                                                              r_w_tmr0   <= "00";
221
--                                                              r_w_tmr1   <= "00";
222
                                                                r_w_wdt    <= "00";
223
--                                                              r_w_tbr    <= "00";
224
                                                                r_w_ram    <= "00";
225
                         when X"F94" => r_w_port   <= R_W; -- TRISC
226
                                                                r_w_add_pr <= "00";
227
--                                                              r_w_pc     <= "00";
228
                                                                r_w_opu     <= "00";
229
                                                                r_w_dec    <= "00";
230
--                                                              r_w_tmr0   <= "00";
231
--                                                              r_w_tmr1   <= "00";
232
                                                                r_w_wdt    <= "00";
233
--                                                              r_w_tbr    <= "00";
234
                                                                r_w_ram    <= "00";
235
                         when X"F93" => r_w_port   <= R_W; -- TRISB
236
                                                                r_w_add_pr <= "00";
237
--                                                              r_w_pc     <= "00";
238
                                                                r_w_opu     <= "00";
239
                                                                r_w_dec    <= "00";
240
--                                                              r_w_tmr0   <= "00";
241
--                                                              r_w_tmr1   <= "00";
242
                                                                r_w_wdt    <= "00";
243
--                                                              r_w_tbr    <= "00";
244
                                                                r_w_ram    <= "00";
245
                         when X"F92" => r_w_port   <= R_W; -- TRISA
246
                                                                r_w_add_pr <= "00";
247
--                                                              r_w_pc     <= "00";
248
                                                                r_w_opu     <= "00";
249
                                                                r_w_dec    <= "00";
250
--                                                              r_w_tmr0   <= "00";
251
--                                                              r_w_tmr1   <= "00";
252
                                                                r_w_wdt    <= "00";
253
--                                                              r_w_tbr    <= "00";
254
                                                                r_w_ram    <= "00";
255
                         when X"F8C" => r_w_port   <= R_W; -- LATD
256
                                                                r_w_add_pr <= "00";
257
--                                                              r_w_pc     <= "00";
258
                                                                r_w_opu     <= "00";
259
                                                                r_w_dec    <= "00";
260
--                                                              r_w_tmr0   <= "00";
261
--                                                              r_w_tmr1   <= "00";
262
                                                                r_w_wdt    <= "00";
263
--                                                              r_w_tbr    <= "00";
264
                                                                r_w_ram    <= "00";
265
                         when X"F8B" => r_w_port   <= R_W; -- LATC
266
                                                                r_w_add_pr <= "00";
267
--                                                              r_w_pc     <= "00";
268
                                                                r_w_opu     <= "00";
269
                                                                r_w_dec    <= "00";
270
--                                                              r_w_tmr0   <= "00";
271
--                                                              r_w_tmr1   <= "00";
272
                                                                r_w_wdt    <= "00";
273
--                                                              r_w_tbr    <= "00";
274
                                                                r_w_ram    <= "00";
275
                         when X"F8A" => r_w_port   <= R_W; -- LATB
276
                                                                r_w_add_pr <= "00";
277
--                                                              r_w_pc     <= "00";
278
                                                                r_w_opu     <= "00";
279
                                                                r_w_dec    <= "00";
280
--                                                              r_w_tmr0   <= "00";
281
--                                                              r_w_tmr1   <= "00";
282
                                                                r_w_wdt    <= "00";
283
--                                                              r_w_tbr    <= "00";
284
                                                                r_w_ram    <= "00";
285
                         when X"F89" => r_w_port   <= R_W; -- LATA
286
                                                                r_w_add_pr <= "00";
287
--                                                              r_w_pc     <= "00";
288
                                                                r_w_opu     <= "00";
289
                                                                r_w_dec    <= "00";
290
--                                                              r_w_tmr0   <= "00";
291
--                                                              r_w_tmr1   <= "00";
292
                                                                r_w_wdt    <= "00";
293
--                                                              r_w_tbr    <= "00";
294
                                                                r_w_ram    <= "00";
295
                         when X"F83" => r_w_port   <= R_W; -- PORTD
296
                                                                r_w_add_pr <= "00";
297
--                                                              r_w_pc     <= "00";
298
                                                                r_w_opu     <= "00";
299
                                                                r_w_dec    <= "00";
300
--                                                              r_w_tmr0   <= "00";
301
--                                                              r_w_tmr1   <= "00";
302
                                                                r_w_wdt    <= "00";
303
--                                                              r_w_tbr    <= "00";
304
                                                                r_w_ram    <= "00";
305
                         when X"F82" => r_w_port   <= R_W; -- PORTC
306
                                                                r_w_add_pr <= "00";
307
--                                                              r_w_pc     <= "00";
308
                                                                r_w_opu     <= "00";
309
                                                                r_w_dec    <= "00";
310
--                                                              r_w_tmr0   <= "00";
311
--                                                              r_w_tmr1   <= "00";
312
                                                                r_w_wdt    <= "00";
313
--                                                              r_w_tbr    <= "00";
314
                                                                r_w_ram    <= "00";
315
                         when X"F81" => r_w_port   <= R_W; -- PORTB
316
                                                                r_w_add_pr <= "00";
317
--                                                              r_w_pc     <= "00";
318
                                                                r_w_opu     <= "00";
319
                                                                r_w_dec    <= "00";
320
--                                                              r_w_tmr0   <= "00";
321
--                                                              r_w_tmr1   <= "00";
322
                                                                r_w_wdt    <= "00";
323
--                                                              r_w_tbr    <= "00";
324
                                                                r_w_ram    <= "00";
325
                         when X"F80" => r_w_port   <= R_W; -- PORTA
326
                                                                r_w_add_pr <= "00";
327
--                                                              r_w_pc     <= "00";
328
                                                                r_w_opu     <= "00";
329
                                                                r_w_dec    <= "00";
330
--                                                              r_w_tmr0   <= "00";
331
--                                                              r_w_tmr1   <= "00";
332
                                                                r_w_wdt    <= "00";
333
--                                                              r_w_tbr    <= "00";
334
                                                                r_w_ram    <= "00";
335
                         -- decoder
336
--                       when X"F2" => r_w_dec    <= R_W; -- INTCON
337
--                                                              r_w_add_pr <= "00";
338
--                                                              r_w_pc     <= "00";
339
--                                                              r_w_opu     <= "00";
340
--                                                              r_w_port   <= "00";
341
--                                                              r_w_tmr0   <= "00";
342
--                                                              r_w_tmr1   <= "00";
343
--                                                              r_w_wdt    <= "00";
344
--                                                              r_w_tbr    <= "00";
345
--                                                              r_w_ram    <= "00";
346
--                       when X"F1" => r_w_dec    <= R_W; -- INTCON2
347
--                                                              r_w_add_pr <= "00";
348
--                                                              r_w_pc     <= "00";
349
--                                                              r_w_opu     <= "00";
350
--                                                              r_w_port   <= "00";
351
--                                                              r_w_tmr0   <= "00";
352
--                                                              r_w_tmr1   <= "00";
353
--                                                              r_w_wdt    <= "00";
354
--                                                              r_w_tbr    <= "00";
355
--                                                              r_w_ram    <= "00";
356
--                       when X"F0" => r_w_dec    <= R_W; -- INTCON3
357
--                                                              r_w_add_pr <= "00";
358
--                                                              r_w_pc     <= "00";
359
--                                                              r_w_opu     <= "00";
360
--                                                              r_w_port   <= "00";
361
--                                                              r_w_tmr0   <= "00";
362
--                                                              r_w_tmr1   <= "00";
363
--                                                              r_w_wdt    <= "00";
364
--                                                              r_w_tbr    <= "00";
365
--                                                              r_w_ram    <= "00";
366
                         when X"FD8" => r_w_dec    <= R_W; -- STATUS
367
                                                                r_w_add_pr <= "00";
368
--                                                              r_w_pc     <= "00";
369
                                                                r_w_opu     <= "00";
370
                                                                r_w_port   <= "00";
371
--                                                              r_w_tmr0   <= "00";
372
--                                                              r_w_tmr1   <= "00";
373
                                                                r_w_wdt    <= "00";
374
--                                                              r_w_tbr    <= "00";
375
                                                                r_w_ram    <= "00";
376
                         -- timer0
377
--                       when X"D7" => r_w_tmr0   <= R_W; -- TMR0H
378
--                                                              r_w_add_pr <= "00";
379
--                                                              r_w_pc     <= "00";
380
--                                                              r_w_opu     <= "00";
381
--                                                              r_w_port   <= "00";
382
--                                                              r_w_dec    <= "00";
383
--                                                              r_w_tmr1   <= "00";
384
--                                                              r_w_wdt    <= "00";
385
--                                                              r_w_tbr    <= "00";
386
--                                                              r_w_ram    <= "00";
387
--                       when X"D6" => r_w_tmr0   <= R_W; -- TMR0L
388
--                                                              r_w_add_pr <= "00";
389
--                                                              r_w_pc     <= "00";
390
--                                                              r_w_opu     <= "00";
391
--                                                              r_w_port   <= "00";
392
--                                                              r_w_dec    <= "00";
393
--                                                              r_w_tmr1   <= "00";
394
--                                                              r_w_wdt    <= "00";
395
--                                                              r_w_tbr    <= "00";
396
--                                                              r_w_ram    <= "00";
397
--                       when X"D5" => r_w_tmr0   <= R_W; -- T0CON
398
--                                                              r_w_add_pr <= "00";
399
--                                                              r_w_pc     <= "00";
400
--                                                              r_w_opu     <= "00";
401
--                                                              r_w_port   <= "00";
402
--                                                              r_w_dec    <= "00";
403
--                                                              r_w_tmr1   <= "00";
404
--                                                              r_w_wdt    <= "00";
405
--                                                              r_w_tbr    <= "00";
406
--                                                              r_w_ram    <= "00";
407
--                       -- timer1
408
--                       when X"CF" => r_w_tmr1   <= R_W; -- TMR1H
409
--                                                              r_w_add_pr <= "00";
410
--                                                              r_w_pc     <= "00";
411
--                                                              r_w_opu     <= "00";
412
--                                                              r_w_port   <= "00";
413
--                                                              r_w_dec    <= "00";
414
--                                                              r_w_tmr0   <= "00";
415
--                                                              r_w_wdt    <= "00";
416
--                                                              r_w_tbr    <= "00";
417
--                                                              r_w_ram    <= "00";
418
--                       when X"CE" => r_w_tmr1   <= R_W; -- TMR1L
419
--                                                              r_w_add_pr <= "00";
420
--                                                              r_w_pc     <= "00";
421
--                                                              r_w_opu     <= "00";
422
--                                                              r_w_port   <= "00";
423
--                                                              r_w_dec    <= "00";
424
--                                                              r_w_tmr0   <= "00";
425
--                                                              r_w_wdt    <= "00";
426
--                                                              r_w_tbr    <= "00";
427
--                                                              r_w_ram    <= "00";
428
--                       when X"CD" => r_w_tmr1   <= R_W; -- T1CON
429
--                                                              r_w_add_pr <= "00";
430
--                                                              r_w_pc     <= "00";
431
--                                                              r_w_opu     <= "00";
432
--                                                              r_w_port   <= "00";
433
--                                                              r_w_dec    <= "00";
434
--                                                              r_w_tmr0   <= "00";
435
--                                                              r_w_wdt    <= "00";
436
--                                                              r_w_tbr    <= "00";
437
--                                                              r_w_ram    <= "00";
438
                         -- watchdog
439
                         when X"FC0" => r_w_wdt    <= R_W; -- WDTCON
440
                                                                r_w_add_pr <= "00";
441
--                                                              r_w_pc     <= "00";
442
                                                                r_w_opu     <= "00";
443
                                                                r_w_port   <= "00";
444
                                                                r_w_dec    <= "00";
445
--                                                              r_w_tmr0   <= "00";
446
--                                                              r_w_tmr1   <= "00";
447
--                                                              r_w_tbr    <= "00";
448
                                                                r_w_ram    <= "00";
449
                         -- table read
450
--                       when X"F8" => r_w_tbr    <= R_W; -- TBLPTRU
451
--                                                              r_w_add_pr <= "00";
452
--                                                              r_w_pc     <= "00";
453
--                                                              r_w_opu     <= "00";
454
--                                                              r_w_port   <= "00";
455
--                                                              r_w_dec    <= "00";
456
--                                                              r_w_tmr0   <= "00";
457
--                                                              r_w_tmr1   <= "00";
458
--                                                              r_w_wdt    <= "00";
459
--                                                              r_w_ram    <= "00";
460
--                       when X"F7" => r_w_tbr    <= R_W; -- TBLPTRH
461
--                                                              r_w_add_pr <= "00";
462
--                                                              r_w_pc     <= "00";
463
--                                                              r_w_opu     <= "00";
464
--                                                              r_w_port   <= "00";
465
--                                                              r_w_dec    <= "00";
466
--                                                              r_w_tmr0   <= "00";
467
--                                                              r_w_tmr1   <= "00";
468
--                                                              r_w_wdt    <= "00";
469
--                                                              r_w_ram    <= "00";
470
--                       when X"F6" => r_w_tbr    <= R_W; -- TBLPTRL
471
--                                                              r_w_add_pr <= "00";
472
--                                                              r_w_pc     <= "00";
473
--                                                              r_w_opu     <= "00";
474
--                                                              r_w_port   <= "00";
475
--                                                              r_w_dec    <= "00";
476
--                                                              r_w_tmr0   <= "00";
477
--                                                              r_w_tmr1   <= "00";
478
--                                                              r_w_wdt    <= "00";
479
--                                                              r_w_ram    <= "00";
480
--                       when X"F5" => r_w_tbr    <= R_W; -- TABLAT
481
--                                                              r_w_add_pr <= "00";
482
--                                                              r_w_pc     <= "00";
483
--                                                              r_w_opu     <= "00";
484
--                                                              r_w_port   <= "00";
485
--                                                              r_w_dec    <= "00";
486
--                                                              r_w_tmr0   <= "00";
487
--                                                              r_w_tmr1   <= "00";
488
--                                                              r_w_wdt    <= "00";
489
--                                                              r_w_ram    <= "00";
490
                         -- ram
491
                         when others=> r_w_ram    <= R_W; -- RAM
492
                                                                r_w_add_pr <= "00";
493
--                                                              r_w_pc     <= "00";
494
                                                                r_w_opu     <= "00";
495
                                                                r_w_port   <= "00";
496
                                                                r_w_dec    <= "00";
497
--                                                              r_w_tmr0   <= "00";
498
--                                                              r_w_tmr1   <= "00";
499
                                                                r_w_wdt    <= "00";
500
--                                                              r_w_tbr    <= "00";
501
                  end case;
502
                end process;
503
 
504
end selection;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.