OpenCores
URL https://opencores.org/ocsvn/md5_pipelined/md5_pipelined/trunk

Subversion Repositories md5_pipelined

[/] [md5_pipelined/] [trunk/] [Md5CoreTest.v] - Blame information for rev 3

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 JohnLeitch
/*
2
Copyright (C) 2014 John Leitch (johnleitch@outlook.com)
3
 
4
This program is free software: you can redistribute it and/or modify
5
it under the terms of the GNU General Public License as published by
6
the Free Software Foundation, either version 3 of the License, or
7
(at your option) any later version.
8
 
9
This program is distributed in the hope that it will be useful,
10
but WITHOUT ANY WARRANTY; without even the implied warranty of
11
MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
12
GNU General Public License for more details.
13
 
14
You should have received a copy of the GNU General Public License
15
along with this program.  If not, see <http://www.gnu.org/licenses/>.
16
*/
17 2 JohnLeitch
`timescale 1ns / 1ns
18
 
19
module Md5CoreTest;
20
 
21
reg clk, reset, test_all;
22
wire [31:0] a, b, c, d;
23
reg [31:0] count = 0;
24
reg [511:0] chunk;
25
 
26
Md5Core m (
27
  .clk(clk),
28
  .wb(chunk),
29
  .a0('h67452301),
30
  .b0('hefcdab89),
31
  .c0('h98badcfe),
32
  .d0('h10325476),
33
  .a64(a),
34
  .b64(b),
35
  .c64(c),
36
  .d64(d)
37
);
38
 
39
initial
40
  begin
41
    clk = 0;
42
    forever #10 clk = !clk;
43
  end
44
 
45
initial
46
  begin
47
    reset = 0;
48
    #5 reset = 1;
49
    #4 reset = 0;
50
  end
51
 
52
`define TestCase(__number, __passed, __a, __b, __c, __d, __chunk)                           \
53
reg __passed;                                                                               \
54
always @(posedge clk)                                                                       \
55
  begin                                                                                     \
56
    if (count == __number)                                                                  \
57
      begin                                                                                 \
58
        chunk <= __chunk;                                                                   \
59
      end                                                                                   \
60
                                                                                            \
61
    if (count == __number + 65) __passed <= a == __a && b == __b && c == __c && d == __d;   \
62
  end                                                                                       \
63
 
64
`TestCase(
65
  0,
66
  test0,
67
  'h35a8f271,
68
  'h39f4f1f4,
69
  'ha75fb5d4,
70
  'h4fba4572,
71
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100000)
72
 
73
`TestCase(
74
  1,
75
  test1,
76
  'h7c9b108f,
77
  'hd07a467c,
78
  'h7352ebc5,
79
  'ha395f402,
80
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100001)
81
 
82
`TestCase(
83
  2,
84
  test2,
85
  'h89f035b0,
86
  'h376153aa,
87
  'h786deec9,
88
  'he4b6a605,
89
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100010)
90
 
91
`TestCase(
92
  3,
93
  test3,
94
  'h0eb78800,
95
  'h31c66081,
96
  'ha7618869,
97
  'h0d21345a,
98
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100011)
99
 
100
`TestCase(
101
  4,
102
  test4,
103
  'h6f38c6c2,
104
  'h22e7d460,
105
  'he70eab58,
106
  'hadda1dc0,
107
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100100)
108
 
109
`TestCase(
110
  5,
111
  test5,
112
  'h5db4ab0a,
113
  'h9ed72cd2,
114
  'h526b3edc,
115
  'h59960c96,
116
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100101)
117
 
118
`TestCase(
119
  6,
120
  test6,
121
  'h10bfdc6b,
122
  'hd2cd45cb,
123
  'h2cef75ac,
124
  'h3a7d9ea5,
125
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100110)
126
 
127
`TestCase(
128
  7,
129
  test7,
130
  'h23866d34,
131
  'hf7ec1067,
132
  'hb980578e,
133
  'hb940e343,
134
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100111)
135
 
136
`TestCase(
137
  8,
138
  test8,
139
  'h0bbfa183,
140
  'h3ee1a0b8,
141
  'h8ff6cad6,
142
  'he488f3c8,
143
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000101000)
144
 
145
`TestCase(
146
  9,
147
  test9,
148
  'h3b924e92,
149
  'hb0b9035a,
150
  'hd88cce0c,
151
  'h4cf3496d,
152
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000101001)
153
 
154
`TestCase(
155
  10,
156
  test10,
157
  'h7c956632,
158
  'hc0ac03d8,
159
  'hd7d3bf4e,
160
  'hb5daa1e1,
161
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000101010)
162
 
163
`TestCase(
164
  11,
165
  test11,
166
  'hbe2d8e25,
167
  'ha32d7b2d,
168
  'h3de4a73a,
169
  'h50ad5698,
170
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000101011)
171
 
172
`TestCase(
173
  12,
174
  test12,
175
  'ha81aa8bf,
176
  'hc3cc7846,
177
  'h3b41e4db,
178
  'hebecaaa9,
179
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000101100)
180
 
181
`TestCase(
182
  13,
183
  test13,
184
  'h55194a32,
185
  'h5e858acb,
186
  'hcab3f463,
187
  'h1771a867,
188
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000101101)
189
 
190
`TestCase(
191
  14,
192
  test14,
193
  'h48ac354f,
194
  'h4f95dcfa,
195
  'h1ef2bf62,
196
  'h8daa20e4,
197
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000101110)
198
 
199
`TestCase(
200
  15,
201
  test15,
202
  'h0f884365,
203
  'h5688be70,
204
  'h05289ea0,
205
  'hc994b7ff,
206
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000101111)
207
 
208
`TestCase(
209
  16,
210
  test16,
211
  'h1cdbaace,
212
  'hff982a0c,
213
  'h61250a68,
214
  'hca323383,
215
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000110000)
216
 
217
`TestCase(
218
  17,
219
  test17,
220
  'hd0fda7c3,
221
  'h92560e17,
222
  'h0195ef0f,
223
  'h8b5220f9,
224
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000110001)
225
 
226
`TestCase(
227
  18,
228
  test18,
229
  'h262cfbc7,
230
  'h7361a114,
231
  'hf0c42971,
232
  'h1c53c056,
233
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000110010)
234
 
235
`TestCase(
236
  19,
237
  test19,
238
  'h1783a8eb,
239
  'h0f14b0c2,
240
  'h40d4532a,
241
  'he388537c,
242
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000110011)
243
 
244
`TestCase(
245
  20,
246
  test20,
247
  'h12b15ca7,
248
  'h2e1a4819,
249
  'he2eba493,
250
  'h1bdfedff,
251
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000110100)
252
 
253
`TestCase(
254
  21,
255
  test21,
256
  'h17f6b7e3,
257
  'h55562332,
258
  'h6d709ad9,
259
  'hc4e64efe,
260
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000110101)
261
 
262
`TestCase(
263
  22,
264
  test22,
265
  'hb4c45615,
266
  'hbf41dcd1,
267
  'h702bd871,
268
  'hcc805d08,
269
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000110110)
270
 
271
`TestCase(
272
  23,
273
  test23,
274
  'hf89ef18e,
275
  'h8a493f45,
276
  'h4523595c,
277
  'h32f395d5,
278
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000110111)
279
 
280
`TestCase(
281
  24,
282
  test24,
283
  'h2eb3cdc8,
284
  'ha1dded72,
285
  'h3765185b,
286
  'h5cf129b3,
287
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000111000)
288
 
289
`TestCase(
290
  25,
291
  test25,
292
  'h6747a144,
293
  'hcdb181a5,
294
  'hb9413dec,
295
  'h167b7251,
296
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000111001)
297
 
298
`TestCase(
299
  26,
300
  test26,
301
  'ha8a41784,
302
  'h5b64a57a,
303
  'h7ceb61d9,
304
  'h0742f470,
305
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000111010)
306
 
307
`TestCase(
308
  27,
309
  test27,
310
  'h7472c99d,
311
  'h909f25d0,
312
  'h38b79f43,
313
  'he1c9a06b,
314
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000111011)
315
 
316
`TestCase(
317
  28,
318
  test28,
319
  'h110b2751,
320
  'h90cbcc98,
321
  'hf3d0cb23,
322
  'hc8aa745e,
323
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000111100)
324
 
325
`TestCase(
326
  29,
327
  test29,
328
  'hf5f9c942,
329
  'h7aa2c365,
330
  'h66b499f9,
331
  'h10f4fd74,
332
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000111101)
333
 
334
`TestCase(
335
  30,
336
  test30,
337
  'h3948bccd,
338
  'h64edbacb,
339
  'h1aada572,
340
  'h7f754050,
341
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000111110)
342
 
343
`TestCase(
344
  31,
345
  test31,
346
  'h0b3622d0,
347
  'h4a65503a,
348
  'hc1579428,
349
  'h4d78ea79,
350
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000111111)
351
 
352
`TestCase(
353
  32,
354
  test32,
355
  'h2e8d6b50,
356
  'hfbbec79c,
357
  'hb0e1e7dc,
358
  'hc376ba70,
359
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000)
360
 
361
`TestCase(
362
  33,
363
  test33,
364
  'h091da27e,
365
  'hb841fc5e,
366
  'h1e7a7c1c,
367
  'h198c57b8,
368
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000001)
369
 
370
`TestCase(
371
  34,
372
  test34,
373
  'h11913b9c,
374
  'hdaeeac75,
375
  'hfc852463,
376
  'h6183a604,
377
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000010)
378
 
379
`TestCase(
380
  35,
381
  test35,
382
  'hd0b33e0c,
383
  'h51500183,
384
  'hb4fda331,
385
  'h46dfe99e,
386
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000011)
387
 
388
`TestCase(
389
  36,
390
  test36,
391
  'hf3a200f5,
392
  'hcb94636a,
393
  'h5cb260d9,
394
  'ha584b73f,
395
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000100)
396
 
397
`TestCase(
398
  37,
399
  test37,
400
  'ha55b1b39,
401
  'h3c658a73,
402
  'h512b19ef,
403
  'hca61da2d,
404
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000101)
405
 
406
`TestCase(
407
  38,
408
  test38,
409
  'h2cd2e37f,
410
  'h6f6379a7,
411
  'h8693c188,
412
  'h01ddf293,
413
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000110)
414
 
415
`TestCase(
416
  39,
417
  test39,
418
  'h68e3acde,
419
  'hb69b99ea,
420
  'he901b6a8,
421
  'haf308a1e,
422
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000111)
423
 
424
`TestCase(
425
  40,
426
  test40,
427
  'ha8b0b6c0,
428
  'h2a8cd6fd,
429
  'h8c312525,
430
  'h863f6cd3,
431
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001001000)
432
 
433
`TestCase(
434
  41,
435
  test41,
436
  'h11f152dc,
437
  'ha0f1b7c2,
438
  'he718f2ee,
439
  'h6f4ac025,
440
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001001001)
441
 
442
`TestCase(
443
  42,
444
  test42,
445
  'ha31221fe,
446
  'ha173d741,
447
  'h23f4934a,
448
  'h75a8b7bb,
449
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001001010)
450
 
451
`TestCase(
452
  43,
453
  test43,
454
  'h3a81d0a4,
455
  'hadb55792,
456
  'h1be4d248,
457
  'h788f42c6,
458
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001001011)
459
 
460
`TestCase(
461
  44,
462
  test44,
463
  'h5c68e9d1,
464
  'hff50df2b,
465
  'h31f96f18,
466
  'h76f3660b,
467
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001001100)
468
 
469
`TestCase(
470
  45,
471
  test45,
472
  'h13d74668,
473
  'h7e011853,
474
  'hc858ad5f,
475
  'h9bd1d97c,
476
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001001101)
477
 
478
`TestCase(
479
  46,
480
  test46,
481
  'h14eb798c,
482
  'hb3f7482e,
483
  'h80ea452a,
484
  'h9a9c7cac,
485
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001001110)
486
 
487
`TestCase(
488
  47,
489
  test47,
490
  'h0fdc63f0,
491
  'habae17ca,
492
  'hf7d2b8a1,
493
  'h5e1db3ec,
494
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001001111)
495
 
496
`TestCase(
497
  48,
498
  test48,
499
  'h74599f43,
500
  'h825a8e87,
501
  'h73f23cf7,
502
  'h9aa7bb24,
503
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001010000)
504
 
505
`TestCase(
506
  49,
507
  test49,
508
  'h621f72ef,
509
  'h1db7ab41,
510
  'h98f8f94e,
511
  'hdde80028,
512
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001010001)
513
 
514
`TestCase(
515
  50,
516
  test50,
517
  'h6d8ebee0,
518
  'h8e44c77c,
519
  'h738e03a0,
520
  'hc650be39,
521
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001010010)
522
 
523
`TestCase(
524
  51,
525
  test51,
526
  'h7553995c,
527
  'h17d9d840,
528
  'h944de02a,
529
  'h5e21f2a4,
530
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001010011)
531
 
532
`TestCase(
533
  52,
534
  test52,
535
  'h259cc9b8,
536
  'h0b2d5f0c,
537
  'h6220326d,
538
  'hc2ffa2d9,
539
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001010100)
540
 
541
`TestCase(
542
  53,
543
  test53,
544
  'hf8fe3e4b,
545
  'hb0f2e851,
546
  'hba73d543,
547
  'hdb1f8d07,
548
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001010101)
549
 
550
`TestCase(
551
  54,
552
  test54,
553
  'ha310e351,
554
  'h1860bea7,
555
  'h39c4665c,
556
  'hbe2596e2,
557
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001010110)
558
 
559
`TestCase(
560
  55,
561
  test55,
562
  'h077bc660,
563
  'h608cfd20,
564
  'h46dec78a,
565
  'h66a003ee,
566
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001010111)
567
 
568
`TestCase(
569
  56,
570
  test56,
571
  'h5155ef01,
572
  'h2a4f5ad8,
573
  'h959e4f07,
574
  'h738171b7,
575
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001011000)
576
 
577
`TestCase(
578
  57,
579
  test57,
580
  'hac7fab56,
581
  'h977eb5f2,
582
  'h0a6971cd,
583
  'hd070af5a,
584
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001011001)
585
 
586
`TestCase(
587
  58,
588
  test58,
589
  'h2ea09f20,
590
  'h11a41ca8,
591
  'ha38ff658,
592
  'hc54f57ba,
593
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001011010)
594
 
595
`TestCase(
596
  59,
597
  test59,
598
  'hbed23180,
599
  'h0528caf6,
600
  'h86ebc762,
601
  'hcb2d6327,
602
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001011011)
603
 
604
`TestCase(
605
  60,
606
  test60,
607
  'h8152b027,
608
  'h73ea5aea,
609
  'h751d6121,
610
  'he003390f,
611
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001011100)
612
 
613
`TestCase(
614
  61,
615
  test61,
616
  'h0ed29a0e,
617
  'hd5550258,
618
  'h3ac5939c,
619
  'hcba22ae6,
620
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001011101)
621
 
622
`TestCase(
623
  62,
624
  test62,
625
  'h96e5477d,
626
  'h8a3872cc,
627
  'h37401d77,
628
  'h71a751d1,
629
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001011110)
630
 
631
`TestCase(
632
  63,
633
  test63,
634
  'h193627b0,
635
  'h65f32dd0,
636
  'hced76f97,
637
  'h21ce91d6,
638
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001011111)
639
 
640
`TestCase(
641
  64,
642
  test64,
643
  'ha351023d,
644
  'habf92ff0,
645
  'h54196f69,
646
  'h51fa52f1,
647
  'b00000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000110010001101100011100100110111101110111001000000110111101101100011011000110010101001000)
648
 
649
`TestCase(
650
  65,
651
  test65,
652
  'h35e1d885,
653
  'h955e6190,
654
  'hf38c03f8,
655
  'h0ff85076,
656
  'b00000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001000010110010001101100011100100110111101110111001000000110111101101100011011000110010101001000)
657
 
658
`TestCase(
659
  66,
660
  test66,
661
  'h3637ed9d,
662
  'h92e87fae,
663
  'h9c62fb6d,
664
  'hc5e74fcc,
665
  'b00000000000000000000000000000000000000000000000000000001010110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001100111011011110110010000100000011110010111101001100001011011000010000001100101011010000111010000100000011100100110010101110110011011110010000001110011011100000110110101110101011010100010000001111000011011110110011000100000011011100111011101101111011100100110001000100000011010110110001101101001011101010111000100100000011001010110100001010100)
666
 
667
`TestCase(
668
  67,
669
  test67,
670
  'h5ac4b6e3,
671
  'h2d2e2507,
672
  'h15448ba2,
673
  'hc098ce69,
674
  'b00000000000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010111001100111011011110110010000100000011110010111101001100001011011000010000001100101011010000111010000100000011100100110010101110110011011110010000001110011011100000110110101110101011010100010000001111000011011110110011000100000011011100111011101101111011100100110001000100000011010110110001101101001011101010111000100100000011001010110100001010100)
675
 
676
`TestCase(
677
  68,
678
  test68,
679
  'hfb44bc89,
680
  'h5b68a719,
681
  'ha7550760,
682
  'hdb9d42ca,
683
  'b00000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000110100001100011011101000110100101100101010011000010000001101110011010000110111101001010)
684
 
685
 
686
always @(posedge clk)
687
  begin
688
    count <= count + 1;
689
  end
690
 
691
always @(posedge test68)
692
  test_all <= test0&test1&test2&test3&test4&test5&test6&test7&test8&test9&test10&test11&test12&test13&test14&test15&test16&test17&test18&test19&test20&test21&test22&test23&test24&test25&test26&test27&test28&test29&test30&test31&test32&test33&test34&test35&test36&test37&test38&test39&test40&test41&test42&test43&test44&test45&test46&test47&test48&test49&test50&test51&test52&test53&test54&test55&test56&test57&test58&test59&test60&test61&test62&test63&test64&test65&test66&test67&test68;
693
 
694
endmodule
695
 
696
 
697
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.