OpenCores
URL https://opencores.org/ocsvn/mdct/mdct/trunk

Subversion Repositories mdct

[/] [mdct/] [tags/] [MDCT_B10/] [source/] [ROMO.VHD] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mikel262
--------------------------------------------------------------------------------
2
--                                                                            --
3
--                          V H D L    F I L E                                --
4
--                          COPYRIGHT (C) 2006                                --
5
--                                                                            --
6
--------------------------------------------------------------------------------
7
--
8
-- Title       : DCT
9
-- Design      : MDCT Core
10
-- Author      : Michal Krepa
11
--
12
--------------------------------------------------------------------------------
13
--
14
-- File        : ROMO.VHD
15
-- Created     : Sat Mar 5 7:37 2006
16
--
17
--------------------------------------------------------------------------------
18
--
19
--  Description : ROM for DCT matrix constant cosine coefficients (odd part)
20
--
21
--------------------------------------------------------------------------------
22
 
23
-- 5:0
24
-- 5:4 = select matrix row (1 out of 4)
25
-- 3:0 = select precomputed MAC ( 1 out of 16)
26
 
27
library IEEE;
28
  use IEEE.STD_LOGIC_1164.all;
29
  use ieee.numeric_std.all;
30
  use WORK.MDCT_PKG.all;
31
 
32
entity ROMO is
33
  port(
34
       addr         : in  STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
35
 
36
       datao        : out STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0)
37
  );
38
 
39
end ROMO;
40
 
41
architecture RTL of ROMO is
42
  type ROM_TYPE is array (0 to 2**ROMADDR_W-1)
43
            of STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
44
  constant rom : ROM_TYPE :=
45
    (
46
       (others => '0'),
47
       std_logic_vector( GP ),
48
       std_logic_vector( FP ),
49
       std_logic_vector( FP+GP ),
50
       std_logic_vector( EP ),
51
       std_logic_vector( EP+GP ),
52
       std_logic_vector( EP+FP ),
53
       std_logic_vector( EP+FP+GP ),
54
       std_logic_vector( DP ),
55
       std_logic_vector( DP+GP ),
56
       std_logic_vector( DP+FP ),
57
       std_logic_vector( DP+FP+GP ),
58
       std_logic_vector( DP+EP ),
59
       std_logic_vector( DP+EP+GP ),
60
       std_logic_vector( DP+EP+FP ),
61
       std_logic_vector( DP+EP+FP+GP ),
62
 
63
       (others => '0'),
64
       std_logic_vector( FM ),
65
       std_logic_vector( DM ),
66
       std_logic_vector( DM+FM ),
67
       std_logic_vector( GM ),
68
       std_logic_vector( GM+FM ),
69
       std_logic_vector( GM+DM ),
70
       std_logic_vector( GM+DM+FM ),
71
       std_logic_vector( EP ),
72
       std_logic_vector( EP+FM ),
73
       std_logic_vector( EP+DM ),
74
       std_logic_vector( EP+DM+FM ),
75
       std_logic_vector( EP+GM ),
76
       std_logic_vector( EP+GM+FM ),
77
       std_logic_vector( EP+GM+DM ),
78
       std_logic_vector( EP+GM+DM+FM ),
79
 
80
       (others => '0'),
81
       std_logic_vector( EP ),
82
       std_logic_vector( GP ),
83
       std_logic_vector( EP+GP ),
84
       std_logic_vector( DM ),
85
       std_logic_vector( DM+EP ),
86
       std_logic_vector( DM+GP ),
87
       std_logic_vector( DM+GP+EP ),
88
       std_logic_vector( FP ),
89
       std_logic_vector( FP+EP ),
90
       std_logic_vector( FP+GP ),
91
       std_logic_vector( FP+GP+EP ),
92
       std_logic_vector( FP+DM ),
93
       std_logic_vector( FP+DM+EP ),
94
       std_logic_vector( FP+DM+GP ),
95
       std_logic_vector( FP+DM+GP+EP ),
96
 
97
       (others => '0'),
98
       std_logic_vector( DM ),
99
       std_logic_vector( EP ),
100
       std_logic_vector( EP+DM ),
101
       std_logic_vector( FM ),
102
       std_logic_vector( FM+DM ),
103
       std_logic_vector( FM+EP ),
104
       std_logic_vector( FM+EP+DM ),
105
       std_logic_vector( GP ),
106
       std_logic_vector( GP+DM ),
107
       std_logic_vector( GP+EP ),
108
       std_logic_vector( GP+EP+DM ),
109
       std_logic_vector( GP+FM ),
110
       std_logic_vector( GP+FM+DM ),
111
       std_logic_vector( GP+FM+EP ),
112
       std_logic_vector( GP+FM+EP+DM )
113
       );
114
begin
115
 
116
  -------------------------------------------------------------------------------
117
  rom_proc: -- ROM generator process
118
  -------------------------------------------------------------------------------
119
  process( addr )
120
  begin
121
    datao <= rom( TO_INTEGER(UNSIGNED(addr)) );
122
  end process;
123
 
124
end RTL;
125
 
126
 
127
 
128
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.