OpenCores
URL https://opencores.org/ocsvn/mdct/mdct/trunk

Subversion Repositories mdct

[/] [mdct/] [tags/] [MDCT_REL_B1_1/] [mdct.mpf] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mikel262
; Copyright Mentor Graphics Corporation 2004
2
;
3
; All Rights Reserved.
4
;
5
; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF
6
; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
7
;
8
 
9
[Library]
10
std = $MODEL_TECH/../std
11
ieee = $MODEL_TECH/../ieee
12
verilog = $MODEL_TECH/../verilog
13
vital2000 = $MODEL_TECH/../vital2000
14
std_developerskit = $MODEL_TECH/../std_developerskit
15
synopsys = $MODEL_TECH/../synopsys
16
modelsim_lib = $MODEL_TECH/../modelsim_lib
17
;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers       // Source files only for this release
18
;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release
19
 
20
work = work
21
SIMPRIM = f:\Xilinx\vhdl\mti_se\simprim
22
UNISIMS_VER = f:\Xilinx\verilog\mti_se\unisims_ver
23
SIMPRIMS_VER = f:\Xilinx\verilog\mti_se\simprims_ver
24
XILINXCORELIB_VER = f:\Xilinx\verilog\mti_se\XilinxCoreLib_ver
25
UNISIM = f:\Xilinx\vhdl\mti_se\unisim
26
XILINXCORELIB = f:\Xilinx\vhdl\mti_se\XilinxCoreLib
27
[vcom]
28
; VHDL93 variable selects language version as the default.
29
; Default is VHDL-2002.
30
; Value of 0 or 1987 for VHDL-1987.
31
; Value of 1 or 1993 for VHDL-1993.
32
; Default or value of 2 or 2002 for VHDL-2002.
33
VHDL93 = 2002
34
 
35
; Show source line containing error. Default is off.
36
; Show_source = 1
37
 
38
; Turn off unbound-component warnings. Default is on.
39
; Show_Warning1 = 0
40
 
41
; Turn off process-without-a-wait-statement warnings. Default is on.
42
; Show_Warning2 = 0
43
 
44
; Turn off null-range warnings. Default is on.
45
; Show_Warning3 = 0
46
 
47
; Turn off no-space-in-time-literal warnings. Default is on.
48
; Show_Warning4 = 0
49
 
50
; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
51
; Show_Warning5 = 0
52
 
53
; Turn off optimization for IEEE std_logic_1164 package. Default is on.
54
; Optimize_1164 = 0
55
 
56
; Turn on resolving of ambiguous function overloading in favor of the
57
; "explicit" function declaration (not the one automatically created by
58
; the compiler for each type declaration). Default is off.
59
; The .ini file has Explict enabled so that std_logic_signed/unsigned
60
; will match the behavior of synthesis tools.
61
Explicit = 1
62
 
63
; Turn off acceleration of the VITAL packages. Default is to accelerate.
64
; NoVital = 1
65
 
66
; Turn off VITAL compliance checking. Default is checking on.
67
; NoVitalCheck = 1
68
 
69
; Ignore VITAL compliance checking errors. Default is to not ignore.
70
; IgnoreVitalErrors = 1
71
 
72
; Turn off VITAL compliance checking warnings. Default is to show warnings.
73
; Show_VitalChecksWarnings = 0
74
 
75
; Turn off PSL assertion warning messges. Default is to show warnings.
76
; Show_PslChecksWarnings = 0
77
 
78
; Enable parsing of embedded PSL assertions. Default is enabled.
79
; EmbeddedPsl = 0
80
 
81
; Keep silent about case statement static warnings.
82
; Default is to give a warning.
83
; NoCaseStaticError = 1
84
 
85
; Keep silent about warnings caused by aggregates that are not locally static.
86
; Default is to give a warning.
87
; NoOthersStaticError = 1
88
 
89
; Treat as errors:
90
;   case statement static warnings
91
;   warnings caused by aggregates that are not locally static
92
; Overrides NoCaseStaticError, NoOthersStaticError settings.
93
; PedanticErrors = 1
94
 
95
; Turn off inclusion of debugging info within design units.
96
; Default is to include debugging info.
97
; NoDebug = 1
98
 
99
; Turn off "Loading..." messages. Default is messages on.
100
; Quiet = 1
101
 
102
; Turn on some limited synthesis rule compliance checking. Checks only:
103
;    -- signals used (read) by a process must be in the sensitivity list
104
; CheckSynthesis = 1
105
 
106
; Activate optimizations on expressions that do not involve signals,
107
; waits, or function/procedure/task invocations. Default is off.
108
; ScalarOpts = 1
109
 
110
; Turns on lint-style checking.
111
; Show_Lint = 1
112
 
113
; Require the user to specify a configuration for all bindings,
114
; and do not generate a compile time default binding for the
115
; component. This will result in an elaboration error of
116
; 'component not bound' if the user fails to do so. Avoids the rare
117
; issue of a false dependency upon the unused default binding.
118
; RequireConfigForAllDefaultBinding = 1
119
 
120
; Peform default binding at compile time.
121
; Default is to do default binding at load time.
122
; BindAtCompile=1;
123
 
124
; Inhibit range checking on subscripts of arrays. Range checking on
125
; scalars defined with subtypes is inhibited by default.
126
; NoIndexCheck = 1
127
 
128
; Inhibit range checks on all (implicit and explicit) assignments to
129
; scalar objects defined with subtypes.
130
; NoRangeCheck = 1
131
 
132
[vlog]
133
 
134
; Turn off inclusion of debugging info within design units.
135
; Default is to include debugging info.
136
; NoDebug = 1
137
 
138
; Turn on `protect compiler directive processing.
139
; Default is to ignore `protect directives.
140
; Protect = 1
141
 
142
; Turn off "Loading..." messages. Default is messages on.
143
; Quiet = 1
144
 
145
; Turn on Verilog hazard checking (order-dependent accessing of global vars).
146
; Default is off.
147
; Hazard = 1
148
 
149
; Turn on converting regular Verilog identifiers to uppercase. Allows case
150
; insensitivity for module names. Default is no conversion.
151
; UpCase = 1
152
 
153
; Activate optimizations on expressions that do not involve signals,
154
; waits, or function/procedure/task invocations. Default is off.
155
; ScalarOpts = 1
156
 
157
; Turns on lint-style checking.
158
; Show_Lint = 1
159
 
160
; Show source line containing error. Default is off.
161
; Show_source = 1
162
 
163
; Turn on bad option warning. Default is off.
164
; Show_BadOptionWarning = 1
165
 
166
; Revert back to IEEE 1364-1995 syntax, default is 0 (off).
167
vlog95compat = 0
168
 
169
; Turn off PSL warning messges. Default is to show warnings.
170
; Show_PslChecksWarnings = 0
171
 
172
; Enable parsing of embedded PSL assertions. Default is enabled.
173
; EmbeddedPsl = 0
174
 
175
; Set the threshold for automatically identifying sparse Verilog memories.
176
; A memory with depth equal to or more than the sparse memory threshold gets
177
; marked as sparse automatically, unless specified otherwise in source code.
178
; The default is 0 (i.e. no memory is automatically given sparse status)
179
; SparseMemThreshold = 1048576
180
 
181
; Set the maximum number of iterations permitted for a generate loop.
182
; Restricting this permits the implementation to recognize infinite
183
; generate loops.
184
; GenerateLoopIterationMax = 100000
185
 
186
; Set the maximum depth permitted for a recursive generate instantiation.
187
; Restricting this permits the implementation to recognize infinite
188
; recursions.
189
; GenerateRecursionDepthMax = 200
190
 
191
 
192
[sccom]
193
; Enable use of SCV include files and library.  Default is off.
194
; UseScv = 1
195
 
196
; Add C++ compiler options to the sccom command line by using this variable.
197
; CppOptions = -g
198
 
199
; Use custom C++ compiler located at this path rather than ModelSim default.
200
; The path should point directly at a compiler executable.
201
; CppPath = /usr/bin/g++
202
 
203
; Enable verbose messages from sccom.  Default is off.
204
; SccomVerbose = 1
205
 
206
; sccom logfile.  Default is no logfile.
207
; SccomLogfile = sccom.log
208
 
209
[vsim]
210
 
211
; vopt flow
212
; Set to turn on automatic optimization of a design.
213
; Default is off (pre-6.0 flow without vopt).
214
; VoptFlow = 1
215
 
216
; Simulator resolution
217
; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
218
resolution = 1ps
219
 
220
; User time unit for run commands
221
; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
222
; unit specified for Resolution. For example, if Resolution is 100ps,
223
; then UserTimeUnit defaults to ps.
224
; Should generally be set to default.
225
UserTimeUnit = default
226
 
227
; Default run length
228
RunLength = 100 ns
229
 
230
; Maximum iterations that can be run without advancing simulation time
231
IterationLimit = 5000
232
 
233
; Contol PSL Assume during simulation
234
; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts
235
; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts
236
; SimulateAssumeDirectives = 1
237
 
238
; Directives to license manager can be set either as single value or as
239
; space separated multi-values:
240
; vhdl          Immediately reserve a VHDL license
241
; vlog          Immediately reserve a Verilog license
242
; plus          Immediately reserve a VHDL and Verilog license
243
; nomgc         Do not look for Mentor Graphics Licenses
244
; nomti         Do not look for Model Technology Licenses
245
; noqueue       Do not wait in the license queue when a license is not available
246
; viewsim       Try for viewer license but accept simulator license(s) instead
247
;               of queuing for viewer license (PE ONLY)
248
; Single value:
249
; License = plus
250
; Multi-value:
251
; License = noqueue plus
252
 
253
; Stop the simulator after a VHDL assertion message
254
; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
255
BreakOnAssertion = 3
256
 
257
; VHDL assertion Message Format
258
; %S - Severity Level
259
; %R - Report Message
260
; %T - Time of assertion
261
; %D - Delta
262
; %I - Instance or Region pathname (if available)
263
; %i - Instance pathname with process
264
; %O - Process name
265
; %K - Kind of object path is to return: Instance, Signal, Process or Unknown
266
; %P - Instance or Region path without leaf process
267
; %F - File
268
; %L - Line number of assertion or, if assertion is in a subprogram, line
269
;      from which the call is made
270
; %% - Print '%' character
271
; If specific format for assertion level is defined, use its format.
272
; If specific format is not define for assertion level, use AssertionFormatBreak
273
; if assertion triggers a breakpoint (controlled by BreakOnAssertion level),
274
; otherwise use AssertionFormat.
275
;
276
; AssertionFormat = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
277
; AssertionFormatBreak   = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
278
; AssertionFormatNote    = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
279
; AssertionFormatWarning = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
280
; AssertionFormatError   = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
281
; AssertionFormatFail    = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
282
; AssertionFormatFatal  = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
283
 
284
; Assertion File - alternate file for storing VHDL/PSL assertion messages
285
; AssertFile = assert.log
286
 
287
; Default radix for all windows and commands.
288
; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
289
DefaultRadix = symbolic
290
 
291
; VSIM Startup command
292
; Startup = do startup.do
293
 
294
; File for saving command transcript
295
TranscriptFile = transcript
296
 
297
; File for saving command history
298
; CommandHistory = cmdhist.log
299
 
300
; Specify whether paths in simulator commands should be described
301
; in VHDL or Verilog format.
302
; For VHDL, PathSeparator = /
303
; For Verilog, PathSeparator = .
304
; Must not be the same character as DatasetSeparator.
305
PathSeparator = /
306
 
307
; Specify the dataset separator for fully rooted contexts.
308
; The default is ':'. For example: sim:/top
309
; Must not be the same character as PathSeparator.
310
DatasetSeparator = :
311
 
312
; Disable VHDL assertion messages
313
; IgnoreNote = 1
314
; IgnoreWarning = 1
315
; IgnoreError = 1
316
; IgnoreFailure = 1
317
 
318
; Default force kind. May be freeze, drive, or deposit
319
; or in other terms, fixed, wired, or charged.
320
; DefaultForceKind = freeze
321
 
322
; If zero, open files when elaborated; otherwise, open files on
323
; first read or write.  Default is 0.
324
; DelayFileOpen = 1
325
 
326
; Control VHDL files opened for write.
327
;   0 = Buffered, 1 = Unbuffered
328
UnbufferedOutput = 0
329
 
330
; Control the number of VHDL files open concurrently.
331
; This number should always be less than the current ulimit
332
; setting for max file descriptors.
333
;   0 = unlimited
334
ConcurrentFileLimit = 40
335
 
336
; Control the number of hierarchical regions displayed as
337
; part of a signal name shown in the Wave window.
338
; A value of zero tells VSIM to display the full name.
339
; The default is 0.
340
; WaveSignalNameWidth = 0
341
 
342
; Turn off warnings from the std_logic_arith, std_logic_unsigned
343
; and std_logic_signed packages.
344
; StdArithNoWarnings = 1
345
 
346
; Turn off warnings from the IEEE numeric_std and numeric_bit packages.
347
; NumericStdNoWarnings = 1
348
 
349
; Control the format of a generate statement label. Do not quote it.
350
; GenerateFormat = %s__%d
351
 
352
; Specify whether checkpoint files should be compressed.
353
; The default is 1 (compressed).
354
; CheckpointCompressMode = 0
355
 
356
; List of dynamically loaded objects for Verilog PLI applications
357
; Veriuser = veriuser.sl
358
 
359
; Specify default options for the restart command. Options can be one
360
; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions
361
; DefaultRestartOptions = -force
362
 
363
; HP-UX 10.20 ONLY - Enable memory locking to speed up large designs
364
; (> 500 megabyte memory footprint). Default is disabled.
365
; Specify number of megabytes to lock.
366
; LockedMemory = 1000
367
 
368
; HP-UX 11.00 ONLY - Use /usr/lib/libCsup_v2.sl for shared object loading.
369
; This is necessary when C++ files have been compiled with aCC's -AA option.
370
; The default behavior is to use /usr/lib/libCsup.sl.
371
; UseCsupV2 = 1
372
 
373
; Turn on (1) or off (0) WLF file compression.
374
; The default is 1 (compress WLF file).
375
; WLFCompress = 0
376
 
377
; Specify whether to save all design hierarchy (1) in the WLF file
378
; or only regions containing logged signals (0).
379
; The default is 0 (log only regions with logged signals).
380
; WLFSaveAllRegions = 1
381
 
382
; WLF file time limit.  Limit WLF file by time, as closely as possible,
383
; to the specified amount of simulation time.  When the limit is exceeded
384
; the earliest times get truncated from the file.
385
; If both time and size limits are specified the most restrictive is used.
386
; UserTimeUnits are used if time units are not specified.
387
; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
388
; WLFTimeLimit = 0
389
 
390
; WLF file size limit.  Limit WLF file size, as closely as possible,
391
; to the specified number of megabytes.  If both time and size limits
392
; are specified then the most restrictive is used.
393
; The default is 0 (no limit).
394
; WLFSizeLimit = 1000
395
 
396
; Specify whether or not a WLF file should be deleted when the
397
; simulation ends.  A value of 1 will cause the WLF file to be deleted.
398
; The default is 0 (do not delete WLF file when simulation ends).
399
; WLFDeleteOnQuit = 1
400
 
401
; Specify whether or not a WLF file should be optimized during
402
; simulation.  If set to 0, the WLF file will not be optimized.
403
; The default is 1, optimize the WLF file.
404
; WLFOptimize = 0
405
 
406
; Specify the name of the WLF file.
407
; The default is vsim.wlf
408
; WLFFilename = vsim.wlf
409
 
410
; Specify the WLF file event collapse mode.
411
; 0 = Preserve all events and event order. (same as -wlfnocollapse)
412
; 1 = Only record values of logged objects at the end of a simulator iteration.
413
;     (same as -wlfcollapsedelta)
414
; 2 = Only record values of logged objects at the end of a simulator time step.
415
;     (same as -wlfcollapsetime)
416
; The default is 1.
417
; WLFCollapseMode = 0
418
 
419
; Specify whether or not integer arrays will appear as memories.
420
; The default is 1 (display integer arrays as memories).
421
; ShowIntMem = 0
422
 
423
; Specify whether or not enumerated type arrays (other than std_logic-based)
424
; will appear as memories.
425
; The default is 1 (display enumerated type arrays as memories).
426
; ShowEnumMem = 0
427
 
428
; Specify whether or not arrays of 3 or more dimensions will appear as memories.
429
; The default is 1 (display 3D+ type arrays as memories).
430
; Show3DMem = 0
431
 
432
; Turn on/off undebuggable SystemC type warnings. Default is on.
433
; ShowUndebuggableScTypeWarning = 0
434
 
435
; Turn on/off unassociated SystemC name warnings. Default is off.
436
; ShowUnassociatedScNameWarning = 1
437
 
438
; Turn on/off PSL assertion pass enable. Default is off.
439
; AssertionPassEnable = 1
440
 
441
; Turn on/off PSL assertion fail enable. Default is on.
442
; AssertionFailEnable = 0
443
 
444
; Set PSL assertion pass limit. Default is 1.
445
; Any positive integer, -1 for infinity.
446
; AssertionPassLimit = -1
447
 
448
; Set PSL assertion fail limit. Default is 1.
449
; Any positive integer, -1 for infinity.
450
; AssertionFailLimit = -1
451
 
452
; Turn on/off PSL assertion pass log. Default is on.
453
; AssertionPassLog = 0
454
 
455
; Turn on/off PSL assertion fail log. Default is on.
456
; AssertionFailLog = 0
457
 
458
; Set action type for PSL assertion fail action. Default is continue.
459
; 0 = Continue  1 = Break  2 = Exit
460
; AssertionFailAction = 1
461
 
462
; Turn on/off all PSL cover directive enables.  Default is on.
463
; CoverEnable = 0
464
 
465
; Turn on/off PSL cover log.  Default is off.
466
; CoverLog = 1
467
 
468
; Set "at_least" value for all PSL cover directives.  Default is 1.
469
; CoverAtLeast = 2
470
 
471
; Set weight for all PSL cover directives.  Default is 1.
472
; CoverWeight = 2
473
 
474
; Check vsim plusargs.  Default is 0 (off).
475
; 0 = Don't check plusargs
476
; 1 = Warning on unrecognized plusarg
477
; 2 = Error and exit on unrecognized plusarg
478
; CheckPlusargs = 1
479
 
480
; Load the specified shared objects with the RTLD_GLOBAL flag.
481
; This gives global visibility to all symbols in the shared objects,
482
; meaning that subsequently loaded shared objects can bind to symbols
483
; in the global shared objects.  The list of shared objects should
484
; be whitespace delimited.  This option is not supported on the
485
; Windows or AIX platforms.
486
; GlobalSharedObjectList = example1.so example2.so example3.so
487
 
488
[lmc]
489
; ModelSim's interface to Logic Modeling's SmartModel SWIFT software
490
libsm = $MODEL_TECH/libsm.sl
491
; ModelSim's interface to Logic Modeling's SmartModel SWIFT software (Windows NT)
492
; libsm = $MODEL_TECH/libsm.dll
493
;  Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700)
494
; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl
495
;  Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000)
496
; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o
497
;  Logic Modeling's SmartModel SWIFT software (Sun4 Solaris)
498
; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so
499
;  Logic Modeling's SmartModel SWIFT software (Windows NT)
500
; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll
501
;  Logic Modeling's SmartModel SWIFT software (Linux)
502
; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so
503
 
504
; ModelSim's interface to Logic Modeling's hardware modeler SFI software
505
libhm = $MODEL_TECH/libhm.sl
506
; ModelSim's interface to Logic Modeling's hardware modeler SFI software (Windows NT)
507
; libhm = $MODEL_TECH/libhm.dll
508
;  Logic Modeling's hardware modeler SFI software (HP 9000 Series 700)
509
; libsfi = /lib/hp700/libsfi.sl
510
;  Logic Modeling's hardware modeler SFI software (IBM RISC System/6000)
511
; libsfi = /lib/rs6000/libsfi.a
512
;  Logic Modeling's hardware modeler SFI software (Sun4 Solaris)
513
; libsfi = /lib/sun4.solaris/libsfi.so
514
;  Logic Modeling's hardware modeler SFI software (Windows NT)
515
; libsfi = /lib/pcnt/lm_sfi.dll
516
;  Logic Modeling's hardware modeler SFI software (Linux)
517
; libsfi = /lib/linux/libsfi.so
518
 
519
[msg_system]
520
; Change a message severity or suppress a message.
521
; The format is:  = [,...]
522
; Examples:
523
;   note = 3009
524
;   warning = 3033
525
;   error = 3010,3016
526
;   suppress = 3009,3016,3043
527
; The command verror  can be used to get the complete
528
; description of a message.
529
 
530
[Project]
531
Project_Version = 6
532
Project_DefaultLib = work
533
Project_SortMethod = unused
534
Project_Files_Count = 17
535
Project_File_0 = C:/elektronika/dct/mdct/source/ROME.VHD
536
Project_File_P_0 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 1 vhdl_enable0In 0 folder SOURCE last_compile 1144870599 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 1 vlog_vopt {} vhdl_0InOptions {} vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 1 vhdl_warn5 1 compile_to work compile_order 8 dont_compile 0 cover_nosub 0 vhdl_use93 93
537
Project_File_1 = C:/elektronika/dct/MDCT/source/DBUFCTL.VHD
538
Project_File_P_1 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder SOURCE last_compile 1143785621 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vlog_vopt {} vhdl_0InOptions {} vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 1 vhdl_warn5 1 compile_to work compile_order 11 dont_compile 0 cover_nosub 0 vhdl_use93 2002
539
Project_File_2 = C:/elektronika/dct/mdct/source/testbench/MDCT_TB.DO
540
Project_File_P_2 = vhdl_novitalcheck 0 file_type tcl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder MODELSIM last_compile 0 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_vopt {} vhdl_warn4 1 vhdl_options {} vhdl_warn5 1 ood 1 compile_to work compile_order -1 cover_nosub 0 dont_compile 1 vhdl_use93 2002
541
Project_File_3 = C:/elektronika/dct/mdct/source/testbench/RUNSIM.DO
542
Project_File_P_3 = vhdl_novitalcheck 0 file_type tcl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder MODELSIM last_compile 0 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_vopt {} vhdl_warn4 1 vhdl_options {} vhdl_warn5 1 ood 1 compile_to work compile_order -1 cover_nosub 0 dont_compile 1 vhdl_use93 2002
543
Project_File_4 = C:/elektronika/dct/MDCT/source/testbench/COMPILE_TIMING.DO
544
Project_File_P_4 = vhdl_novitalcheck 0 file_type tcl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder MODELSIM last_compile 0 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_vopt {} vhdl_warn4 1 vhdl_options {} vhdl_warn5 1 ood 1 compile_to work compile_order -1 cover_nosub 0 dont_compile 1 vhdl_use93 2002
545
Project_File_5 = C:/elektronika/dct/mdct/source/RAM.VHD
546
Project_File_P_5 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 1 vhdl_enable0In 0 folder SOURCE last_compile 1143489389 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 1 vlog_vopt {} vhdl_0InOptions {} vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 1 vhdl_warn5 1 compile_to work compile_order 3 dont_compile 0 cover_nosub 0 vhdl_use93 93
547
Project_File_6 = C:/elektronika/dct/MDCT/source/DCT2D.VHD
548
Project_File_P_6 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder SOURCE last_compile 1143972066 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 1 vlog_vopt {} vhdl_0InOptions {} vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 1 vhdl_warn5 1 compile_to work compile_order 10 dont_compile 0 cover_nosub 0 vhdl_use93 87
549
Project_File_7 = C:/elektronika/dct/mdct/source/testbench/CLKGEN.VHD
550
Project_File_P_7 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 1 vhdl_enable0In 0 folder TESTBENCH last_compile 1143489388 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 1 vlog_vopt {} vhdl_0InOptions {} vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 1 vhdl_warn5 1 compile_to work compile_order 4 dont_compile 0 cover_nosub 0 vhdl_use93 93
551
Project_File_8 = C:/elektronika/dct/mdct/source/testbench/MDCT_TB.VHD
552
Project_File_P_8 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 1 vhdl_enable0In 0 folder TESTBENCH last_compile 1144881653 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 1 vlog_vopt {} vhdl_0InOptions {} vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 1 vhdl_warn5 1 compile_to work compile_order 6 dont_compile 0 cover_nosub 0 vhdl_use93 93
553
Project_File_9 = C:/elektronika/dct/MDCT/synthesis/mdct_temp_2/MDCT_out.vhd
554
Project_File_P_9 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder PAR last_compile 0 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_0InOptions {} vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 1 vhdl_warn5 1 compile_to work compile_order 12 dont_compile 0 cover_nosub 0 vhdl_use93 2002
555
Project_File_10 = C:/elektronika/dct/MDCT/source/testbench/RUNSIM_TIMING.DO
556
Project_File_P_10 = vhdl_novitalcheck 0 file_type tcl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder MODELSIM last_compile 0 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_vopt {} vhdl_warn4 1 vhdl_options {} vhdl_warn5 1 ood 1 compile_to work compile_order -1 cover_nosub 0 dont_compile 1 vhdl_use93 2002
557
Project_File_11 = C:/elektronika/dct/mdct/source/ROMO.VHD
558
Project_File_P_11 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 1 vhdl_enable0In 0 folder SOURCE last_compile 1144946089 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 1 vlog_vopt {} vhdl_0InOptions {} vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 1 vhdl_warn5 1 compile_to work compile_order 9 dont_compile 0 cover_nosub 0 vhdl_use93 93
559
Project_File_12 = C:/elektronika/dct/mdct/source/testbench/MDCTTB_PKG.vhd
560
Project_File_P_12 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 1 vhdl_enable0In 0 folder TESTBENCH last_compile 1143976585 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 1 vlog_vopt {} vhdl_0InOptions {} vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 1 vhdl_warn5 1 compile_to work compile_order 7 dont_compile 0 cover_nosub 0 vhdl_use93 93
561
Project_File_13 = C:/elektronika/dct/mdct/source/DCT1D.vhd
562 4 mikel262
Project_File_P_13 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 1 vhdl_enable0In 0 folder SOURCE last_compile 1145135163 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 1 vlog_vopt {} vhdl_0InOptions {} vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 1 vhdl_warn5 1 compile_to work compile_order 1 dont_compile 0 cover_nosub 0 vhdl_use93 93
563 2 mikel262
Project_File_14 = C:/elektronika/dct/mdct/source/MDCT.VHD
564
Project_File_P_14 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 1 vhdl_enable0In 0 folder SOURCE last_compile 1143931873 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 1 vlog_vopt {} vhdl_0InOptions {} vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 1 vhdl_warn5 1 compile_to work compile_order 0 dont_compile 0 cover_nosub 0 vhdl_use93 93
565
Project_File_15 = C:/elektronika/dct/mdct/source/MDCT_PKG.vhd
566
Project_File_P_15 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 1 vhdl_enable0In 0 folder SOURCE last_compile 1144447956 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 1 vlog_vopt {} vhdl_0InOptions {} vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 1 vhdl_warn5 1 compile_to work compile_order 2 dont_compile 0 cover_nosub 0 vhdl_use93 93
567
Project_File_16 = C:/elektronika/dct/mdct/source/testbench/INPIMAGE.VHD
568
Project_File_P_16 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 1 vhdl_enable0In 0 folder TESTBENCH last_compile 1143979283 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 1 vlog_vopt {} vhdl_0InOptions {} vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 1 vhdl_warn5 1 compile_to work compile_order 5 dont_compile 0 cover_nosub 0 vhdl_use93 93
569
Project_Sim_Count = 0
570
Project_Folder_Count = 4
571
Project_Folder_0 = TESTBENCH
572
Project_Folder_P_0 = folder SOURCE
573
Project_Folder_1 = SOURCE
574
Project_Folder_P_1 = folder {Top Level}
575
Project_Folder_2 = MODELSIM
576
Project_Folder_P_2 = folder {Top Level}
577
Project_Folder_3 = PAR
578
Project_Folder_P_3 = folder {Top Level}
579
Echo_Compile_Output = 0
580
Save_Compile_Report = 1
581
Project_Opt_Count = 0
582
ForceSoftPaths = 1
583
ReOpenSourceFiles = 1
584
VERILOG_DoubleClick = Edit
585
VERILOG_CustomDoubleClick =
586
VHDL_DoubleClick = Edit
587
VHDL_CustomDoubleClick =
588
PSL_DoubleClick = Edit
589
PSL_CustomDoubleClick =
590
TEXT_DoubleClick = Edit
591
TEXT_CustomDoubleClick =
592
SYSTEMC_DoubleClick = Edit
593
SYSTEMC_CustomDoubleClick =
594
TCL_DoubleClick = Edit
595
TCL_CustomDoubleClick =
596
MACRO_DoubleClick = Edit
597
MACRO_CustomDoubleClick =
598
VCD_DoubleClick = Edit
599
VCD_CustomDoubleClick =
600
SDF_DoubleClick = Edit
601
SDF_CustomDoubleClick =
602
XML_DoubleClick = Edit
603
XML_CustomDoubleClick =
604
LOGFILE_DoubleClick = Edit
605
LOGFILE_CustomDoubleClick =
606 4 mikel262
EditorState = {tabbed horizontal 1} {C:/elektronika/dct/MDCT/source/testbench/MDCT_TB.DO 0 0} {C:/elektronika/dct/MDCT/source/MDCT.VHD 0 0} {C:/elektronika/dct/MDCT/source/MDCT_PKG.vhd 0 0} {C:/elektronika/dct/MDCT/source/DCT1D.vhd 0 0} {C:/elektronika/dct/MDCT/source/DCT2D.VHD 0 1}
607 2 mikel262
Project_Major_Version = 6
608
Project_Minor_Version = 1

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.