OpenCores
URL https://opencores.org/ocsvn/mdct/mdct/trunk

Subversion Repositories mdct

[/] [mdct/] [tags/] [MDCT_REL_B1_1/] [source/] [DCT1D.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mikel262
--------------------------------------------------------------------------------
2
--                                                                            --
3
--                          V H D L    F I L E                                --
4
--                          COPYRIGHT (C) 2006                                --
5
--                                                                            --
6
--------------------------------------------------------------------------------
7
--
8
-- Title       : DCT1D
9
-- Design      : MDCT Core
10
-- Author      : Michal Krepa
11
--
12
--------------------------------------------------------------------------------
13
--
14
-- File        : DCT1D.VHD
15
-- Created     : Sat Mar 5 7:37 2006
16
--
17
--------------------------------------------------------------------------------
18
--
19
--  Description : 1D Discrete Cosine Transform (1st stage)
20
--
21
--------------------------------------------------------------------------------
22
 
23
 
24
library IEEE;
25
  use IEEE.STD_LOGIC_1164.all;
26
  use IEEE.NUMERIC_STD.all;
27
 
28
library WORK;
29
  use WORK.MDCT_PKG.all;
30
 
31
--------------------------------------------------------------------------------
32
-- ENTITY
33
--------------------------------------------------------------------------------
34
entity DCT1D is
35
        port(
36
                  clk          : in STD_LOGIC;
37
                  rst          : in std_logic;
38
      dcti         : in std_logic_vector(IP_W-1 downto 0);
39
      idv          : in STD_LOGIC;
40
      romedatao0   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
41
      romedatao1   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
42
      romedatao2   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
43
      romedatao3   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
44
      romedatao4   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
45
      romedatao5   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
46
      romedatao6   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
47
      romedatao7   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
48
      romedatao8   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
49
      romodatao0   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
50
      romodatao1   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
51
      romodatao2   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
52
      romodatao3   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
53
      romodatao4   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
54
      romodatao5   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
55
      romodatao6   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
56
      romodatao7   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
57
      romodatao8   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
58
      reqwrfail    : in STD_LOGIC;
59
 
60
      ready        : out STD_LOGIC; -- read from FIFO
61
      odv          : out STD_LOGIC;
62
      dcto         : out std_logic_vector(OP_W-1 downto 0);
63
      romeaddro0   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
64
      romeaddro1   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
65
      romeaddro2   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
66
      romeaddro3   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
67
      romeaddro4   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
68
      romeaddro5   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
69
      romeaddro6   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
70
      romeaddro7   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
71
      romeaddro8   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
72
      romoaddro0   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
73
      romoaddro1   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
74
      romoaddro2   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
75
      romoaddro3   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
76
      romoaddro4   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
77
      romoaddro5   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
78
      romoaddro6   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
79
      romoaddro7   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
80
      romoaddro8   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
81
      ramwaddro    : out STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0);
82
      ramdatai     : out STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0);
83
      ramwe        : out STD_LOGIC;
84
      requestwr    : out STD_LOGIC;
85
      releasewr    : out STD_LOGIC
86
                );
87
end DCT1D;
88
 
89
--------------------------------------------------------------------------------
90
-- ARCHITECTURE
91
--------------------------------------------------------------------------------
92
architecture RTL of DCT1D is
93
 
94
  type STATE_T is
95
  (
96
    IDLE,
97
    GET_ROM,
98
    SUM,
99
    WRITE_ODD
100
  );
101
 
102
  type ISTATE_T is
103
  (
104
    IDLE_I,
105
    ACQUIRE_1ROW,
106
    WAITF
107
  );
108
 
109
  type INPUT_DATA is array (N-1 downto 0) of SIGNED(IP_W downto 0);
110
 
111
  signal ready_reg      : STD_LOGIC;
112
  signal databuf_reg    : INPUT_DATA;
113
  signal latchbuf_reg   : INPUT_DATA;
114
  signal col_reg        : UNSIGNED(RAMADRR_W/2-1 downto 0);
115
  signal row_reg        : UNSIGNED(RAMADRR_W/2-1 downto 0);
116
  signal inpcnt_reg     : UNSIGNED(2 downto 0);
117
  signal state_reg      : STATE_T;
118
  signal istate_reg     : ISTATE_T;
119
  signal cnt_reg        : UNSIGNED(3 downto 0);
120
  signal ramdatai_s     : STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0);
121
  signal ramwe_s        : STD_LOGIC;
122
  signal latch_done_reg : STD_LOGIC;
123
  signal requestwr_reg  : STD_LOGIC;
124
  signal releasewr_reg  : STD_LOGIC;
125
  signal completed_reg  : STD_LOGIC;
126
  signal col_tmp_reg    : UNSIGNED(RAMADRR_W/2-1 downto 0);
127
begin
128
 
129
  ready_sg:
130
  ready    <= ready_reg;
131
 
132
  ramwe_sg:
133
  ramwe    <= ramwe_s;
134
 
135
  ramdatai_sg:
136
  ramdatai <= ramdatai_s;
137
 
138
  -- temporary
139
  odv_sg:
140
  odv      <= ramwe_s;
141
  dcto_sg:
142
  dcto     <= ramdatai_s(RAMDATA_W-1) & ramdatai_s(RAMDATA_W-1) & ramdatai_s;
143
 
144
  releasewr_sg:
145
  releasewr <= releasewr_reg;
146
  requestwr_sg:
147
  requestwr <= requestwr_reg;
148
 
149
  --------------------------------------
150
  -- PROCESS
151
  --------------------------------------
152
  GET_PROC : process(rst,clk)
153
  begin
154
    if rst = '1' then
155
      inpcnt_reg     <= (others => '0');
156
      ready_reg      <= '0';
157
      latchbuf_reg   <= (others => (others => '0'));
158
      istate_reg     <= IDLE_I;
159
      latch_done_reg <= '0';
160
      requestwr_reg  <= '0';
161
    elsif clk = '1' and clk'event then
162 4 mikel262
 
163 2 mikel262
      case istate_reg is
164
 
165
        when IDLE_I =>
166
          if idv = '1' then
167
            requestwr_reg <= '1';
168
          end if;
169
          if requestwr_reg = '1' then
170
            requestwr_reg <= '0';
171
            istate_reg <= ACQUIRE_1ROW;
172
          end if;
173
 
174
        when ACQUIRE_1ROW =>
175
 
176
          if idv = '1' then
177
            -- read next data from input FIFO
178
            ready_reg  <= '1';
179
 
180
            if ready_reg = '1' then
181
              -- right shift input data
182
              latchbuf_reg(N-2 downto 0) <= latchbuf_reg(N-1 downto 1);
183
              latchbuf_reg(N-1)          <= SIGNED('0' & dcti) - LEVEL_SHIFT;
184
 
185
              inpcnt_reg   <= inpcnt_reg + 1;
186
 
187
              if inpcnt_reg = N-1 then
188
                latch_done_reg <= '1';
189
                ready_reg  <= '0';
190
                istate_reg <= WAITF;
191
              end if;
192
            end if;
193
          else
194
            ready_reg  <= '0';
195
          end if;
196
 
197
          -- failure to allocate any memory buffer
198
          if reqwrfail = '1' then
199
          -- restart allocation procedure
200
            istate_reg  <= IDLE_I;
201
            ready_reg   <= '0';
202
          end if;
203
 
204
        when WAITF =>
205
          -- wait until DCT1D_PROC process 1D DCT computation 
206
          -- before latching new 8 input words
207
          if state_reg = IDLE then
208
            latch_done_reg <= '0';
209
            if completed_reg = '1' then
210
              istate_reg <= IDLE_I;
211
            else
212
              istate_reg <= ACQUIRE_1ROW;
213
            end if;
214
          end if;
215
        when others =>
216
          istate_reg <= IDLE_I;
217
      end case;
218
    end if;
219
  end process;
220
 
221
  --------------------------------------
222
  -- PROCESS
223
  --------------------------------------
224
  DCT1D_PROC: process(rst, clk)
225
  begin
226
    if rst = '1' then
227
      col_reg       <= (others => '0');
228
      row_reg       <= (others => '0');
229
      state_reg     <= IDLE;
230
      cnt_reg       <= (others => '0');
231
      databuf_reg   <= (others => (others => '0'));
232
      ramwaddro     <= (others => '0');
233
      ramdatai_s    <= (others => '0');
234
      ramwe_s       <= '0';
235
      releasewr_reg <= '0';
236
      completed_reg <= '0';
237
      col_tmp_reg   <= (others => '0');
238
    elsif rising_edge(clk) then
239
 
240
      case state_reg is
241
 
242
        ----------------------
243
        -- wait for input data
244
        ----------------------
245
        when IDLE =>
246
 
247
          releasewr_reg <= '0';
248
          ramwe_s       <= '0';
249
          -- wait until 8 input words are latched in latchbuf_reg
250
          -- by GET_PROC                    
251
          if latch_done_reg = '1' then
252
            completed_reg   <= '0';
253
            -- after this sum databuf_reg is in range of -256 to 254 (min to max) 
254
            databuf_reg(0)  <= latchbuf_reg(0)+latchbuf_reg(7);
255
            databuf_reg(1)  <= latchbuf_reg(1)+latchbuf_reg(6);
256
            databuf_reg(2)  <= latchbuf_reg(2)+latchbuf_reg(5);
257
            databuf_reg(3)  <= latchbuf_reg(3)+latchbuf_reg(4);
258
            databuf_reg(4)  <= latchbuf_reg(0)-latchbuf_reg(7);
259
            databuf_reg(5)  <= latchbuf_reg(1)-latchbuf_reg(6);
260
            databuf_reg(6)  <= latchbuf_reg(2)-latchbuf_reg(5);
261
            databuf_reg(7)  <= latchbuf_reg(3)-latchbuf_reg(4);
262
            state_reg   <= GET_ROM;
263
          end if;
264
 
265
        ----------------------
266
        -- get MAC results from ROM even and ROM odd memories
267
        ----------------------
268
        when GET_ROM =>
269
 
270
           ramwe_s   <='0';
271
 
272
           state_reg <= SUM;
273
 
274
        ---------------------
275
        -- do distributed arithmetic sum on even part,
276
        -- write even part to RAM
277
        ---------------------  
278
        when SUM =>
279
 
280
          ramdatai_s <= STD_LOGIC_VECTOR(RESIZE
281
            (RESIZE(SIGNED(romedatao0),DA_W) +
282
            (RESIZE(SIGNED(romedatao1),DA_W-1) & '0') +
283
            (RESIZE(SIGNED(romedatao2),DA_W-2) & "00") +
284
            (RESIZE(SIGNED(romedatao3),DA_W-3) & "000") +
285
            (RESIZE(SIGNED(romedatao4),DA_W-4) & "0000") +
286
            (RESIZE(SIGNED(romedatao5),DA_W-5) & "00000") +
287
            (RESIZE(SIGNED(romedatao6),DA_W-6) & "000000") +
288
            (RESIZE(SIGNED(romedatao7),DA_W-7) & "0000000") -
289
            (RESIZE(SIGNED(romedatao8),DA_W-8) & "00000000"),DA_W)(DA_W-1 downto 12));
290
 
291
          -- write even part
292
          ramwe_s   <= '1';
293
          -- reverse col/row order for transposition purpose
294
          ramwaddro <= STD_LOGIC_VECTOR(col_reg & row_reg);
295
 
296
          col_reg <= col_reg + 1;
297
          col_tmp_reg <= col_reg + 2;
298
 
299 4 mikel262
 
300 2 mikel262
          state_reg <= WRITE_ODD;
301
 
302
        ---------------------
303
        -- do distributed arithmetic sum on odd part,
304
        -- write odd part to RAM
305
        ---------------------
306
        when WRITE_ODD =>
307
 
308
          -- write odd part
309
          --ramwe_s   <= '1';
310
 
311
          ramdatai_s <= STD_LOGIC_VECTOR(RESIZE
312
            (RESIZE(SIGNED(romodatao0),DA_W) +
313
            (RESIZE(SIGNED(romodatao1),DA_W-1) & '0') +
314
            (RESIZE(SIGNED(romodatao2),DA_W-2) & "00") +
315
            (RESIZE(SIGNED(romodatao3),DA_W-3) & "000") +
316
            (RESIZE(SIGNED(romodatao4),DA_W-4) & "0000") +
317
            (RESIZE(SIGNED(romodatao5),DA_W-5) & "00000") +
318
            (RESIZE(SIGNED(romodatao6),DA_W-6) & "000000") +
319
            (RESIZE(SIGNED(romodatao7),DA_W-7) & "0000000") -
320
            (RESIZE(SIGNED(romodatao8),DA_W-8) & "00000000"),
321
            DA_W)(DA_W-1 downto 12));
322
 
323
          -- write odd part
324
          -- reverse col/row order for transposition purpose
325
          ramwaddro <= STD_LOGIC_VECTOR(col_reg & row_reg);
326
 
327
          -- move to next column
328
          col_reg <= col_reg + 1;
329 4 mikel262
          col_tmp_reg <= col_reg + 1;
330 2 mikel262
 
331
          -- finished processing one input row
332
          if col_reg = N - 1 then
333
            row_reg         <= row_reg + 1;
334 4 mikel262
            col_reg         <= (others => '0');
335
            col_tmp_reg     <= (others => '0');
336 2 mikel262
            if row_reg = N - 1 then
337
              releasewr_reg <= '1';
338
              completed_reg <= '1';
339
            end if;
340
            state_reg  <= IDLE;
341
          else
342 4 mikel262
 
343 2 mikel262
            state_reg <= SUM;
344
 
345
          end if;
346
        --------------------------------
347
        -- OTHERS
348
        --------------------------------
349
        when others =>
350
          state_reg  <= IDLE;
351
      end case;
352
    end if;
353
  end process;
354
 
355 4 mikel262
  -- read precomputed MAC results from LUT
356
  romeaddro0 <= STD_LOGIC_VECTOR(col_tmp_reg(RAMADRR_W/2-1 downto 1)) &
357
           databuf_reg(0)(0) &
358
           databuf_reg(1)(0) &
359
           databuf_reg(2)(0) &
360
           databuf_reg(3)(0);
361
  romeaddro1 <= STD_LOGIC_VECTOR(col_tmp_reg(RAMADRR_W/2-1 downto 1)) &
362
           databuf_reg(0)(1) &
363
           databuf_reg(1)(1) &
364
           databuf_reg(2)(1) &
365
           databuf_reg(3)(1);
366
  romeaddro2 <= STD_LOGIC_VECTOR(col_tmp_reg(RAMADRR_W/2-1 downto 1)) &
367
           databuf_reg(0)(2) &
368
           databuf_reg(1)(2) &
369
           databuf_reg(2)(2) &
370
           databuf_reg(3)(2);
371
  romeaddro3 <= STD_LOGIC_VECTOR(col_tmp_reg(RAMADRR_W/2-1 downto 1)) &
372
           databuf_reg(0)(3) &
373
           databuf_reg(1)(3) &
374
           databuf_reg(2)(3) &
375
           databuf_reg(3)(3);
376
  romeaddro4  <= STD_LOGIC_VECTOR(col_tmp_reg(RAMADRR_W/2-1 downto 1)) &
377
           databuf_reg(0)(4) &
378
           databuf_reg(1)(4) &
379
           databuf_reg(2)(4) &
380
           databuf_reg(3)(4);
381
  romeaddro5  <= STD_LOGIC_VECTOR(col_tmp_reg(RAMADRR_W/2-1 downto 1)) &
382
           databuf_reg(0)(5) &
383
           databuf_reg(1)(5) &
384
           databuf_reg(2)(5) &
385
           databuf_reg(3)(5);
386
  romeaddro6  <= STD_LOGIC_VECTOR(col_tmp_reg(RAMADRR_W/2-1 downto 1)) &
387
           databuf_reg(0)(6) &
388
           databuf_reg(1)(6) &
389
           databuf_reg(2)(6) &
390
           databuf_reg(3)(6);
391
  romeaddro7  <= STD_LOGIC_VECTOR(col_tmp_reg(RAMADRR_W/2-1 downto 1)) &
392
           databuf_reg(0)(7) &
393
           databuf_reg(1)(7) &
394
           databuf_reg(2)(7) &
395
           databuf_reg(3)(7);
396
  romeaddro8  <= STD_LOGIC_VECTOR(col_tmp_reg(RAMADRR_W/2-1 downto 1)) &
397
           databuf_reg(0)(8) &
398
           databuf_reg(1)(8) &
399
           databuf_reg(2)(8) &
400
           databuf_reg(3)(8);
401
 
402
 
403
  -- odd
404
  romoaddro0 <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) &
405
             databuf_reg(4)(0) &
406
             databuf_reg(5)(0) &
407
             databuf_reg(6)(0) &
408
             databuf_reg(7)(0);
409
  romoaddro1 <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) &
410
             databuf_reg(4)(1) &
411
             databuf_reg(5)(1) &
412
             databuf_reg(6)(1) &
413
             databuf_reg(7)(1);
414
  romoaddro2 <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) &
415
             databuf_reg(4)(2) &
416
             databuf_reg(5)(2) &
417
             databuf_reg(6)(2) &
418
             databuf_reg(7)(2);
419
  romoaddro3 <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) &
420
             databuf_reg(4)(3) &
421
             databuf_reg(5)(3) &
422
             databuf_reg(6)(3) &
423
             databuf_reg(7)(3);
424
  romoaddro4 <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) &
425
             databuf_reg(4)(4) &
426
             databuf_reg(5)(4) &
427
             databuf_reg(6)(4) &
428
             databuf_reg(7)(4);
429
  romoaddro5 <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) &
430
             databuf_reg(4)(5) &
431
             databuf_reg(5)(5) &
432
             databuf_reg(6)(5) &
433
             databuf_reg(7)(5);
434
  romoaddro6 <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) &
435
             databuf_reg(4)(6) &
436
             databuf_reg(5)(6) &
437
             databuf_reg(6)(6) &
438
             databuf_reg(7)(6);
439
  romoaddro7 <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) &
440
             databuf_reg(4)(7) &
441
             databuf_reg(5)(7) &
442
             databuf_reg(6)(7) &
443
             databuf_reg(7)(7);
444
  romoaddro8 <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) &
445
             databuf_reg(4)(8) &
446
             databuf_reg(5)(8) &
447
             databuf_reg(6)(8) &
448
             databuf_reg(7)(8);
449
 
450 2 mikel262
end RTL;
451
--------------------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.