OpenCores
URL https://opencores.org/ocsvn/mdct/mdct/trunk

Subversion Repositories mdct

[/] [mdct/] [tags/] [MDCT_REL_B1_1/] [source/] [DCT2D.VHD] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mikel262
--------------------------------------------------------------------------------
2
--                                                                            --
3
--                          V H D L    F I L E                                --
4
--                          COPYRIGHT (C) 2006                                --
5
--                                                                            --
6
--------------------------------------------------------------------------------
7
--
8
-- Title       : DCT2D
9
-- Design      : MDCT Core
10
-- Author      : Michal Krepa
11
--
12
--------------------------------------------------------------------------------
13
--
14
-- File        : DCT2D.VHD
15
-- Created     : Sat Mar 28 22:32 2006
16
--
17
--------------------------------------------------------------------------------
18
--
19
--  Description : 1D Discrete Cosine Transform (second stage)
20
--
21
--------------------------------------------------------------------------------
22
 
23
 
24
library IEEE;
25
  use IEEE.STD_LOGIC_1164.all;
26
  use ieee.numeric_std.all;
27
 
28
library WORK;
29
  use WORK.MDCT_PKG.all;
30
 
31
entity DCT2D is
32
        port(
33
      clk          : in STD_LOGIC;
34
      rst          : in std_logic;
35
      romedatao0   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
36
      romedatao1   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
37
      romedatao2   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
38
      romedatao3   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
39
      romedatao4   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
40
      romedatao5   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
41
      romedatao6   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
42
      romedatao7   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
43
      romedatao8   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
44
      romedatao9   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
45
      romedatao10  : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
46
      romodatao0   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
47
      romodatao1   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
48
      romodatao2   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
49
      romodatao3   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
50
      romodatao4   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
51
      romodatao5   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
52
      romodatao6   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
53
      romodatao7   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
54
      romodatao8   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
55
      romodatao9   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
56
      romodatao10  : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
57
      ramdatao     : in STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0);
58
      reqrdfail    : in STD_LOGIC;
59
      dataready    : in STD_LOGIC;
60
 
61
      odv          : out STD_LOGIC;
62
      dcto         : out std_logic_vector(OP_W-1 downto 0);
63
      romeaddro0   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
64
      romeaddro1   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
65
      romeaddro2   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
66
      romeaddro3   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
67
      romeaddro4   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
68
      romeaddro5   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
69
      romeaddro6   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
70
      romeaddro7   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
71
      romeaddro8   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
72
      romeaddro9   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
73
      romeaddro10  : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
74
      romoaddro0   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
75
      romoaddro1   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
76
      romoaddro2   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
77
      romoaddro3   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
78
      romoaddro4   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
79
      romoaddro5   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
80
      romoaddro6   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
81
      romoaddro7   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
82
      romoaddro8   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
83
      romoaddro9   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
84
      romoaddro10  : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
85
      ramraddro    : out STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0);
86
      requestrd    : out STD_LOGIC;
87
      releaserd    : out STD_LOGIC
88
 
89
                );
90
end DCT2D;
91
 
92
architecture RTL of DCT2D is
93
 
94
  type STATE2_T is
95
  (
96
    IDLE,
97
    GET_ROM,
98
    SUM,
99
    WRITE_ODD
100
  );
101
 
102
  type ISTATE2_T is
103
  (
104
    IDLE_I,
105
    WAIT_RAM,
106
    ACQUIRE_1ROW,
107
    WAITF
108
  );
109
 
110
  type input_data2 is array (N-1 downto 0) of SIGNED(RAMDATA_W downto 0);
111
 
112
  signal databuf_reg    : input_data2;
113
  signal latchbuf_reg   : input_data2;
114
  signal col_reg        : UNSIGNED(RAMADRR_W/2-1 downto 0);
115
  signal row_reg        : UNSIGNED(RAMADRR_W/2-1 downto 0);
116
  signal state_reg      : STATE2_T;
117
  signal istate_reg     : ISTATE2_T;
118
  signal cnt_reg        : UNSIGNED(3 downto 0);
119
  signal latch_done_reg : STD_LOGIC;
120
  signal rowram_reg     : UNSIGNED(RAMADRR_W/2-1 downto 0);
121
  signal colram_reg     : UNSIGNED(RAMADRR_W/2 downto 0);
122
  signal requestrd_reg  : STD_LOGIC;
123
  signal releaserd_reg  : STD_LOGIC;
124
  signal completed_reg  : STD_LOGIC;
125
  signal col_tmp_reg    : UNSIGNED(RAMADRR_W/2-1 downto 0);
126
 
127
begin
128
 
129
  ramraddro_sg:
130
  ramraddro  <= STD_LOGIC_VECTOR(rowram_reg & colram_reg(2 downto 0));
131
 
132
  requestrd_sg:
133
  requestrd  <= requestrd_reg;
134
 
135
  releaserd_sg:
136
  releaserd  <= releaserd_reg;
137
 
138
  GET_PROC : process(rst,clk)
139
  begin
140
    if rst = '1' then
141
      rowram_reg     <= (others => '0');
142
      colram_reg     <= (others => '0');
143
      latchbuf_reg   <= (others => (others => '0'));
144
      istate_reg     <= IDLE_I;
145
      latch_done_reg <= '0';
146
      completed_reg  <= '0';
147
      requestrd_reg  <= '0';
148
      releaserd_reg  <= '0';
149
    elsif clk = '1' and clk'event then
150
      case istate_reg is
151
 
152
        ----------------------
153
        -- IDLE
154
        ----------------------
155
        when IDLE_I =>
156
          -- one of ram buffers has new data
157
          if dataready = '1' then
158
            requestrd_reg <= '1';
159
          end if;
160
          -- give 1T delay needed by DBUFCTL
161
          if requestrd_reg = '1' then
162
            requestrd_reg <= '0';
163
            istate_reg <= ACQUIRE_1ROW;
164
          end if;
165
 
166
        ----------------------
167
        -- latch input data to barrel shifter
168
        ----------------------
169
        when ACQUIRE_1ROW =>
170
 
171
          -- not starting from zero b/c of RAM 1T delay
172
          if colram_reg /= 0 then
173
            -- right shift input data
174
            latchbuf_reg(N-2 downto 0) <= latchbuf_reg(N-1 downto 1);
175
            latchbuf_reg(N-1)          <= RESIZE(SIGNED(ramdatao),RAMDATA_W+1);
176
          end if;
177
 
178
          colram_reg  <= colram_reg + 1;
179
 
180
          -- not N-1
181
          if colram_reg = N then
182
            -- finished reading 64 point 1D DCT from RAM
183
            if rowram_reg = N-1 then
184
              -- release memory
185
              releaserd_reg <= '1';
186
              completed_reg <= '1';
187
            end if;
188
            colram_reg  <= (others => '0');
189
            rowram_reg  <= rowram_reg + 1;
190
            -- 8 point input latched
191
            latch_done_reg <= '1';
192
            istate_reg  <= WAITF;
193
          end if;
194
 
195
          -- failure to allocate memory buffer
196
          -- should never happen?
197
          if reqrdfail = '1' then
198
            istate_reg <= IDLE_I;
199
          end if;
200
 
201
        ----------------------
202
        -- wait until latched input is processed by DCT
203
        ----------------------
204
        when WAITF =>
205
          releaserd_reg <= '0';
206
          -- wait until DCT1D_PROC process 1D DCT computation
207
          -- before latching new 8 input words
208
          if state_reg = IDLE then
209
            latch_done_reg  <= '0';
210
            if completed_reg = '1' then
211
              completed_reg <= '0';
212
              istate_reg    <= IDLE_I;
213
            else
214
              istate_reg <= ACQUIRE_1ROW;
215
            end if;
216
          end if;
217
 
218
        when others =>
219
          istate_reg <= IDLE_I;
220
      end case;
221
    end if;
222
  end process;
223
 
224
 
225
  DCT1D_PROC: process(rst, clk)
226
  begin
227
    if rst = '1' then
228
      col_reg      <= (others => '0');
229
      row_reg      <= (others => '0');
230
      state_reg    <= IDLE;
231
      cnt_reg      <= (others => '0');
232
      databuf_reg  <= (others => (others => '0'));
233
      odv           <= '0';
234
      dcto          <= (others => '0');
235
      col_tmp_reg   <= (others => '0');
236
    elsif rising_edge(clk) then
237
 
238
      case state_reg is
239
 
240
        ----------------------
241
        -- wait for input data
242
        ----------------------
243
        when IDLE =>
244
 
245
          odv <= '0';
246
          -- wait until 8 input words are latched in latchbuf_reg
247
          -- by GET_PROC
248
          if latch_done_reg = '1' then
249
            -- after this sum databuf_reg is in range of -256 to 254 (min to max)
250
            databuf_reg(0)  <= latchbuf_reg(0)+latchbuf_reg(7);
251
            databuf_reg(1)  <= latchbuf_reg(1)+latchbuf_reg(6);
252
            databuf_reg(2)  <= latchbuf_reg(2)+latchbuf_reg(5);
253
            databuf_reg(3)  <= latchbuf_reg(3)+latchbuf_reg(4);
254
            databuf_reg(4)  <= latchbuf_reg(0)-latchbuf_reg(7);
255
            databuf_reg(5)  <= latchbuf_reg(1)-latchbuf_reg(6);
256
            databuf_reg(6)  <= latchbuf_reg(2)-latchbuf_reg(5);
257
            databuf_reg(7)  <= latchbuf_reg(3)-latchbuf_reg(4);
258
            state_reg   <= GET_ROM;
259
          end if;
260
 
261
        ----------------------
262
        -- get MAC results from ROM even and ROM odd memories
263
        ----------------------
264
        when GET_ROM =>
265
 
266 4 mikel262
           odv <= '0';
267 2 mikel262
 
268
           state_reg <= SUM;
269
 
270
        ---------------------
271
        -- do distributed arithmetic sum on even part,
272
        -- write even part to RAM
273
        ---------------------
274
        when SUM =>
275
 
276
          -- (a0 +
277
          -- a1*2 +
278
          -- (a2 + a3*2)*4 +
279
          -- a4 * 2^4 +
280
          -- a5*2 * 2^4 +
281
          -- (a6 +
282
          -- a7*2)*2^6 )/
283
          -- 2^11
284
          dcto <= STD_LOGIC_VECTOR(RESIZE
285
            (RESIZE(SIGNED(romedatao0),DA2_W) +
286
            (RESIZE(SIGNED(romedatao1),DA2_W-1) & '0') +
287
            (RESIZE(SIGNED(romedatao2),DA2_W-2) & "00") +
288
            (RESIZE(SIGNED(romedatao3),DA2_W-3) & "000") +
289
            (RESIZE(SIGNED(romedatao4),DA2_W-4) & "0000") +
290
            (RESIZE(SIGNED(romedatao5),DA2_W-5) & "00000") +
291
            (RESIZE(SIGNED(romedatao6),DA2_W-6) & "000000") +
292
            (RESIZE(SIGNED(romedatao7),DA2_W-7) & "0000000") +
293
            (RESIZE(SIGNED(romedatao8),DA2_W-8) & "00000000") +
294
            (RESIZE(SIGNED(romedatao9),DA2_W-9) & "000000000") -
295
            (RESIZE(SIGNED(romedatao10),DA2_W-10) & "0000000000"),
296
            DA2_W)(DA2_W-1 downto 12));
297
 
298
          -- write even part
299
          odv   <= '1';
300
 
301
          col_reg <= col_reg + 1;
302
          col_tmp_reg <= col_reg + 2;
303 4 mikel262
 
304 2 mikel262
          state_reg <= WRITE_ODD;
305
 
306
        ---------------------
307
        -- do distributed arithmetic sum on odd part,
308
        -- write odd part to RAM
309
        ---------------------
310
        when WRITE_ODD =>
311
 
312
          dcto <= STD_LOGIC_VECTOR(RESIZE
313
            (RESIZE(SIGNED(romodatao0),DA2_W) +
314
            (RESIZE(SIGNED(romodatao1),DA2_W-1) & '0') +
315
            (RESIZE(SIGNED(romodatao2),DA2_W-2) & "00") +
316
            (RESIZE(SIGNED(romodatao3),DA2_W-3) & "000") +
317
            (RESIZE(SIGNED(romodatao4),DA2_W-4) & "0000") +
318
            (RESIZE(SIGNED(romodatao5),DA2_W-5) & "00000") +
319
            (RESIZE(SIGNED(romodatao6),DA2_W-6) & "000000") +
320
            (RESIZE(SIGNED(romodatao7),DA2_W-7) & "0000000") +
321
            (RESIZE(SIGNED(romodatao8),DA2_W-8) & "00000000") +
322
            (RESIZE(SIGNED(romodatao9),DA2_W-9) & "000000000") -
323
            (RESIZE(SIGNED(romodatao10),DA2_W-10) & "0000000000"),
324
            DA2_W)(DA2_W-1 downto 12));
325
 
326
          col_reg <= col_reg + 1;
327 4 mikel262
          col_tmp_reg <= col_reg + 1;
328 2 mikel262
 
329
          -- finished processing one input row (1 x N)
330
          if col_reg = N - 1 then
331
            row_reg <= row_reg + 1;
332
            col_reg <= (others => '0');
333 4 mikel262
            col_tmp_reg <= (others => '0');
334 2 mikel262
            state_reg  <= IDLE;
335
          else
336
            state_reg  <= SUM;
337
          end if;
338
 
339
        -----------------
340
        when others =>
341
          state_reg  <= IDLE;
342
      end case;
343
    end if;
344
  end process;
345 4 mikel262
 
346
  -- read precomputed MAC results from LUT
347
  romeaddro0 <= STD_LOGIC_VECTOR(col_tmp_reg(RAMADRR_W/2-1 downto 1)) &
348
           databuf_reg(0)(0) &
349
           databuf_reg(1)(0) &
350
           databuf_reg(2)(0) &
351
           databuf_reg(3)(0);
352
  romeaddro1 <= STD_LOGIC_VECTOR(col_tmp_reg(RAMADRR_W/2-1 downto 1)) &
353
           databuf_reg(0)(1) &
354
           databuf_reg(1)(1) &
355
           databuf_reg(2)(1) &
356
           databuf_reg(3)(1);
357
  romeaddro2 <= STD_LOGIC_VECTOR(col_tmp_reg(RAMADRR_W/2-1 downto 1)) &
358
           databuf_reg(0)(2) &
359
           databuf_reg(1)(2) &
360
           databuf_reg(2)(2) &
361
           databuf_reg(3)(2);
362
  romeaddro3 <= STD_LOGIC_VECTOR(col_tmp_reg(RAMADRR_W/2-1 downto 1)) &
363
           databuf_reg(0)(3) &
364
           databuf_reg(1)(3) &
365
           databuf_reg(2)(3) &
366
           databuf_reg(3)(3);
367
  romeaddro4 <= STD_LOGIC_VECTOR(col_tmp_reg(RAMADRR_W/2-1 downto 1)) &
368
           databuf_reg(0)(4) &
369
           databuf_reg(1)(4) &
370
           databuf_reg(2)(4) &
371
           databuf_reg(3)(4);
372
  romeaddro5  <= STD_LOGIC_VECTOR(col_tmp_reg(RAMADRR_W/2-1 downto 1)) &
373
           databuf_reg(0)(5) &
374
           databuf_reg(1)(5) &
375
           databuf_reg(2)(5) &
376
           databuf_reg(3)(5);
377
  romeaddro6  <= STD_LOGIC_VECTOR(col_tmp_reg(RAMADRR_W/2-1 downto 1)) &
378
           databuf_reg(0)(6) &
379
           databuf_reg(1)(6) &
380
           databuf_reg(2)(6) &
381
           databuf_reg(3)(6);
382
  romeaddro7  <= STD_LOGIC_VECTOR(col_tmp_reg(RAMADRR_W/2-1 downto 1)) &
383
           databuf_reg(0)(7) &
384
           databuf_reg(1)(7) &
385
           databuf_reg(2)(7) &
386
           databuf_reg(3)(7);
387
  romeaddro8  <= STD_LOGIC_VECTOR(col_tmp_reg(RAMADRR_W/2-1 downto 1)) &
388
           databuf_reg(0)(8) &
389
           databuf_reg(1)(8) &
390
           databuf_reg(2)(8) &
391
           databuf_reg(3)(8);
392
  romeaddro9  <= STD_LOGIC_VECTOR(col_tmp_reg(RAMADRR_W/2-1 downto 1)) &
393
           databuf_reg(0)(9) &
394
           databuf_reg(1)(9) &
395
           databuf_reg(2)(9) &
396
           databuf_reg(3)(9);
397
  romeaddro10  <= STD_LOGIC_VECTOR(col_tmp_reg(RAMADRR_W/2-1 downto 1)) &
398
           databuf_reg(0)(10) &
399
           databuf_reg(1)(10) &
400
           databuf_reg(2)(10) &
401
           databuf_reg(3)(10);
402
  -- odd
403
  romoaddro0 <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) &
404
            databuf_reg(4)(0) &
405
            databuf_reg(5)(0) &
406
            databuf_reg(6)(0) &
407
            databuf_reg(7)(0);
408
  romoaddro1 <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) &
409
            databuf_reg(4)(1) &
410
            databuf_reg(5)(1) &
411
            databuf_reg(6)(1) &
412
            databuf_reg(7)(1);
413
  romoaddro2 <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) &
414
            databuf_reg(4)(2) &
415
            databuf_reg(5)(2) &
416
            databuf_reg(6)(2) &
417
            databuf_reg(7)(2);
418
  romoaddro3 <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) &
419
            databuf_reg(4)(3) &
420
            databuf_reg(5)(3) &
421
            databuf_reg(6)(3) &
422
            databuf_reg(7)(3);
423
  romoaddro4 <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) &
424
            databuf_reg(4)(4) &
425
            databuf_reg(5)(4) &
426
            databuf_reg(6)(4) &
427
            databuf_reg(7)(4);
428
  romoaddro5 <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) &
429
            databuf_reg(4)(5) &
430
            databuf_reg(5)(5) &
431
            databuf_reg(6)(5) &
432
            databuf_reg(7)(5);
433
  romoaddro6 <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) &
434
            databuf_reg(4)(6) &
435
            databuf_reg(5)(6) &
436
            databuf_reg(6)(6) &
437
            databuf_reg(7)(6);
438
  romoaddro7 <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) &
439
            databuf_reg(4)(7) &
440
            databuf_reg(5)(7) &
441
            databuf_reg(6)(7) &
442
            databuf_reg(7)(7);
443
  romoaddro8 <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) &
444
            databuf_reg(4)(8) &
445
            databuf_reg(5)(8) &
446
            databuf_reg(6)(8) &
447
            databuf_reg(7)(8);
448
  romoaddro9 <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) &
449
            databuf_reg(4)(9) &
450
            databuf_reg(5)(9) &
451
            databuf_reg(6)(9) &
452
            databuf_reg(7)(9);
453
  romoaddro10 <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) &
454
            databuf_reg(4)(10) &
455
            databuf_reg(5)(10) &
456
            databuf_reg(6)(10) &
457
            databuf_reg(7)(10);
458 2 mikel262
 
459
end RTL;
460
--------------------------------------------------------------------------------
461
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.