OpenCores
URL https://opencores.org/ocsvn/mdct/mdct/trunk

Subversion Repositories mdct

[/] [mdct/] [tags/] [MDCT_REL_B1_1/] [transcript] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mikel262
#Reading E:/FPGAdv71PS/Modeltech/tcl/vsim/pref.tcl
2
#//  ModelSim SE 6.1a Jul 19 2005
3
#//
4
#//  Copyright Mentor Graphics Corporation 2005
5
#//              All Rights Reserved.
6
#//
7
#//  THIS WORK CONTAINS TRADE SECRET AND
8
#//  PROPRIETARY INFORMATION WHICH IS THE PROPERTY
9
#//  OF MENTOR GRAPHICS CORPORATION OR ITS LICENSORS
10
#//  AND IS SUBJECT TO LICENSE TERMS.
11
#//
12
# OpenFile "C:/elektronika/dct/MDCT_EXPORT/mdct.mpf"
13
#Loading project mdct
14
#** Error: (vlib-35) Failed to create directory "work".
15
#File exists. (errno = EEXIST)
16
#Modifying C:/elektronika/dct/MDCT_EXPORT/mdct.mpf
17
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
18
#-- Loading package standard
19
#-- Loading package std_logic_1164
20
#-- Loading package numeric_std
21
#-- Compiling package mdct_pkg
22
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
23
#-- Loading package standard
24
#-- Loading package std_logic_1164
25
#-- Loading package numeric_std
26
#-- Loading package mdct_pkg
27
#-- Compiling entity rome
28
#-- Compiling architecture rtl of rome
29
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
30
#-- Loading package standard
31
#-- Loading package std_logic_1164
32
#-- Loading package numeric_std
33
#-- Loading package mdct_pkg
34
#-- Compiling entity romo
35
#-- Compiling architecture rtl of romo
36
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
37
#-- Loading package standard
38
#-- Loading package std_logic_1164
39
#-- Loading package numeric_std
40
#-- Loading package mdct_pkg
41
#-- Compiling entity ram
42
#-- Compiling architecture rtl of ram
43
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
44
#-- Loading package standard
45
#-- Loading package std_logic_1164
46
#-- Loading package numeric_std
47
#-- Loading package mdct_pkg
48
#-- Compiling entity dct1d
49
#-- Compiling architecture rtl of dct1d
50
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
51
#-- Loading package standard
52
#-- Loading package std_logic_1164
53
#-- Loading package numeric_std
54
#-- Loading package mdct_pkg
55
#-- Compiling entity dct2d
56
#-- Compiling architecture rtl of dct2d
57
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
58
#-- Loading package standard
59
#-- Loading package std_logic_1164
60
#-- Loading package numeric_std
61
#-- Loading package mdct_pkg
62
#-- Compiling entity dbufctl
63
#-- Compiling architecture rtl of dbufctl
64
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
65
#-- Loading package standard
66
#-- Loading package std_logic_1164
67
#-- Loading package numeric_std
68
#-- Loading package mdct_pkg
69
#-- Compiling entity mdct
70
#-- Compiling architecture rtl of mdct
71
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
72
#-- Loading package standard
73
#-- Loading package std_logic_1164
74
#-- Loading package numeric_std
75
#-- Loading package mdct_pkg
76
#-- Compiling entity clkgen
77
#-- Compiling architecture sim of clkgen
78
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
79
#-- Loading package standard
80
#-- Loading package std_logic_1164
81
#-- Loading package numeric_std
82
#-- Loading package math_real
83
#-- Loading package textio
84
#-- Loading package mdct_pkg
85
#-- Compiling package mdcttb_pkg
86
#-- Compiling package body mdcttb_pkg
87
#-- Loading package mdcttb_pkg
88
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
89
#-- Loading package standard
90
#-- Loading package std_logic_1164
91
#-- Loading package numeric_std
92
#-- Loading package textio
93
#-- Loading package std_logic_textio
94
#-- Loading package mdct_pkg
95
#-- Loading package math_real
96
#-- Loading package mdcttb_pkg
97
#-- Compiling entity inpimage
98
#-- Compiling architecture sim of inpimage
99
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
100
#-- Loading package standard
101
#-- Loading package std_logic_1164
102
#-- Loading package numeric_std
103
#-- Loading package mdct_pkg
104
#-- Loading package vital_timing
105
#-- Loading package vcomponents
106
#-- Loading package vital_primitives
107
#-- Loading package textio
108
#-- Loading package vpackage
109
#-- Compiling entity tb_mdct
110
#-- Compiling architecture tb of tb_mdct
111
#-- Compiling configuration conf_mdct
112
#-- Loading entity tb_mdct
113
#-- Loading architecture tb of tb_mdct
114
#-- Loading entity mdct
115
#-- Loading entity clkgen
116
#-- Loading package std_logic_textio
117
#-- Loading package math_real
118
#-- Loading package mdcttb_pkg
119
#-- Loading entity inpimage
120
#-- Compiling configuration conf_mdct_timing
121
#-- Loading entity tb_mdct
122
#-- Loading architecture tb of tb_mdct
123
#-- Loading entity mdct
124
#-- Loading entity clkgen
125
#-- Loading entity inpimage
126
#** Warning: (vlib-34) Library already exists at "work".
127
#Modifying C:/elektronika/dct/MDCT_EXPORT/mdct.mpf
128
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
129
#-- Loading package standard
130
#-- Loading package std_logic_1164
131
#-- Loading package numeric_std
132
#-- Compiling package mdct_pkg
133
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
134
#-- Loading package standard
135
#-- Loading package std_logic_1164
136
#-- Loading package numeric_std
137
#-- Loading package mdct_pkg
138
#-- Compiling entity rome
139
#-- Compiling architecture rtl of rome
140
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
141
#-- Loading package standard
142
#-- Loading package std_logic_1164
143
#-- Loading package numeric_std
144
#-- Loading package mdct_pkg
145
#-- Compiling entity romo
146
#-- Compiling architecture rtl of romo
147
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
148
#-- Loading package standard
149
#-- Loading package std_logic_1164
150
#-- Loading package numeric_std
151
#-- Loading package mdct_pkg
152
#-- Compiling entity ram
153
#-- Compiling architecture rtl of ram
154
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
155
#-- Loading package standard
156
#-- Loading package std_logic_1164
157
#-- Loading package numeric_std
158
#-- Loading package mdct_pkg
159
#-- Compiling entity dct1d
160
#-- Compiling architecture rtl of dct1d
161
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
162
#-- Loading package standard
163
#-- Loading package std_logic_1164
164
#-- Loading package numeric_std
165
#-- Loading package mdct_pkg
166
#-- Compiling entity dct2d
167
#-- Compiling architecture rtl of dct2d
168
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
169
#-- Loading package standard
170
#-- Loading package std_logic_1164
171
#-- Loading package numeric_std
172
#-- Loading package mdct_pkg
173
#-- Compiling entity dbufctl
174
#-- Compiling architecture rtl of dbufctl
175
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
176
#-- Loading package standard
177
#-- Loading package std_logic_1164
178
#-- Loading package numeric_std
179
#-- Loading package mdct_pkg
180
#-- Compiling entity mdct
181
#-- Compiling architecture rtl of mdct
182
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
183
#-- Loading package standard
184
#-- Loading package std_logic_1164
185
#-- Loading package numeric_std
186
#-- Loading package mdct_pkg
187
#-- Compiling entity clkgen
188
#-- Compiling architecture sim of clkgen
189
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
190
#-- Loading package standard
191
#-- Loading package std_logic_1164
192
#-- Loading package numeric_std
193
#-- Loading package math_real
194
#-- Loading package textio
195
#-- Loading package mdct_pkg
196
#-- Compiling package mdcttb_pkg
197
#-- Compiling package body mdcttb_pkg
198
#-- Loading package mdcttb_pkg
199
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
200
#-- Loading package standard
201
#-- Loading package std_logic_1164
202
#-- Loading package numeric_std
203
#-- Loading package textio
204
#-- Loading package std_logic_textio
205
#-- Loading package mdct_pkg
206
#-- Loading package math_real
207
#-- Loading package mdcttb_pkg
208
#-- Compiling entity inpimage
209
#-- Compiling architecture sim of inpimage
210
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
211
#-- Loading package standard
212
#-- Loading package std_logic_1164
213
#-- Loading package numeric_std
214
#-- Loading package mdct_pkg
215
#-- Loading package vital_timing
216
#-- Loading package vcomponents
217
#-- Loading package vital_primitives
218
#-- Loading package textio
219
#-- Loading package vpackage
220
#-- Compiling entity tb_mdct
221
#-- Compiling architecture tb of tb_mdct
222
#-- Compiling configuration conf_mdct
223
#-- Loading entity tb_mdct
224
#-- Loading architecture tb of tb_mdct
225
#-- Loading entity mdct
226
#-- Loading entity clkgen
227
#-- Loading package std_logic_textio
228
#-- Loading package math_real
229
#-- Loading package mdcttb_pkg
230
#-- Loading entity inpimage
231
#-- Compiling configuration conf_mdct_timing
232
#-- Loading entity tb_mdct
233
#-- Loading architecture tb of tb_mdct
234
#-- Loading entity mdct
235
#-- Loading entity clkgen
236
#-- Loading entity inpimage
237
#vsim -lib WORK -t ps CONF_MDCT
238
# Loading E:\FPGAdv71PS\Modeltech\win32/../std.standard
239
# Loading E:\FPGAdv71PS\Modeltech\win32/../ieee.std_logic_1164(body)
240
# Loading E:\FPGAdv71PS\Modeltech\win32/../ieee.numeric_std(body)
241
# Loading work.mdct_pkg
242
# Loading E:\FPGAdv71PS\Modeltech\win32/../std.textio(body)
243
# Loading E:\FPGAdv71PS\Modeltech\win32/../vital2000.vital_timing(body)
244
# Loading f:\Xilinx\vhdl\mti_se\simprim.vcomponents
245
# Loading E:\FPGAdv71PS\Modeltech\win32/../vital2000.vital_primitives(body)
246
# Loading f:\Xilinx\vhdl\mti_se\simprim.vpackage(body)
247
# Loading E:\FPGAdv71PS\Modeltech\win32/../ieee.std_logic_textio(body)
248
# Loading E:\FPGAdv71PS\Modeltech\win32/../ieee.math_real(body)
249
# Loading work.mdcttb_pkg(body)
250
# Loading work.conf_mdct
251
# Loading work.tb_mdct(tb)
252
# Loading work.mdct(rtl)
253
# Loading work.dct1d(rtl)
254
# Loading work.dct2d(rtl)
255
# Loading work.ram(rtl)
256
# Loading work.dbufctl(rtl)
257
# Loading work.rome(rtl)
258
# Loading work.romo(rtl)
259
# Loading work.clkgen(sim)
260
# Loading work.inpimage(sim)
261
#** Error: (vish-4014) No objects found matching '/tb_mdct/u_mdct/u1_rome0/rom'.
262
#Executing ONERROR command at macro ./SOURCE/TESTBENCH/WAVE.DO line 102
263
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
264
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_romo10
265
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
266
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_romo9
267
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
268
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_romo8
269
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
270
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_romo7
271
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
272
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_romo6
273
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
274
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_romo5
275
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
276
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_romo4
277
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
278
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_romo3
279
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
280
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_romo2
281
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
282
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_romo1
283
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
284
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_romo0
285
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
286
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_rome10
287
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
288
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_rome9
289
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
290
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_rome8
291
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
292
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_rome7
293
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
294
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_rome6
295
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
296
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_rome5
297
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
298
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_rome4
299
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
300
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_rome3
301
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
302
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_rome2
303
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
304
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_rome1
305
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
306
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_rome0
307
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
308
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_romo8
309
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
310
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_romo7
311
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
312
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_romo6
313
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
314
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_romo5
315
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
316
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_romo4
317
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
318
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_romo3
319
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
320
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_romo2
321
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
322
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_romo1
323
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
324
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_romo0
325
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
326
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_rome8
327
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
328
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_rome7
329
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
330
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_rome6
331
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
332
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_rome5
333
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
334
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_rome4
335
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
336
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_rome3
337
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
338
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_rome2
339
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
340
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_rome1
341
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
342
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_rome0
343
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
344
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_ram
345
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
346
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_ram
347
#** Note: No errors found in first stage of DCT
348
#   Time: 33632450 ns  Iteration: 3  Instance: /tb_mdct/u_inpimage
349
#** Note: 1D Test finished
350
#   Time: 33632450 ns  Iteration: 3  Instance: /tb_mdct/u_inpimage
351
#** Note: PSNR computed for image SOURCE\TESTBENCH\lena512.txt is 4.855483e+001 dB
352
#   Time: 33641650 ns  Iteration: 3  Instance: /tb_mdct/u_inpimage
353
#** Note: No errors found in second stage of DCT
354
#   Time: 33641750 ns  Iteration: 3  Instance: /tb_mdct/u_inpimage
355
#** Note: 2D Test finished
356
#   Time: 33641750 ns  Iteration: 3  Instance: /tb_mdct/u_inpimage

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.