OpenCores
URL https://opencores.org/ocsvn/mdct/mdct/trunk

Subversion Repositories mdct

[/] [mdct/] [tags/] [MDCT_REL_B1_3/] [source/] [DCT2D.VHD] - Blame information for rev 25

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mikel262
--------------------------------------------------------------------------------
2
--                                                                            --
3
--                          V H D L    F I L E                                --
4
--                          COPYRIGHT (C) 2006                                --
5
--                                                                            --
6
--------------------------------------------------------------------------------
7
--
8
-- Title       : DCT2D
9
-- Design      : MDCT Core
10
-- Author      : Michal Krepa
11
--
12
--------------------------------------------------------------------------------
13
--
14
-- File        : DCT2D.VHD
15
-- Created     : Sat Mar 28 22:32 2006
16
--
17
--------------------------------------------------------------------------------
18
--
19
--  Description : 1D Discrete Cosine Transform (second stage)
20
--
21
--------------------------------------------------------------------------------
22
 
23
 
24
library IEEE;
25
  use IEEE.STD_LOGIC_1164.all;
26
  use ieee.numeric_std.all;
27
 
28
library WORK;
29
  use WORK.MDCT_PKG.all;
30
 
31
entity DCT2D is
32
        port(
33
      clk          : in STD_LOGIC;
34
      rst          : in std_logic;
35
      romedatao0   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
36
      romedatao1   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
37
      romedatao2   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
38
      romedatao3   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
39
      romedatao4   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
40
      romedatao5   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
41
      romedatao6   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
42
      romedatao7   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
43
      romedatao8   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
44
      romedatao9   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
45
      romedatao10  : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
46
      romodatao0   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
47
      romodatao1   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
48
      romodatao2   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
49
      romodatao3   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
50
      romodatao4   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
51
      romodatao5   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
52
      romodatao6   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
53
      romodatao7   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
54
      romodatao8   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
55
      romodatao9   : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
56
      romodatao10  : in STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
57
      ramdatao     : in STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0);
58
      reqrdfail    : in STD_LOGIC;
59
      dataready    : in STD_LOGIC;
60
 
61
      odv          : out STD_LOGIC;
62
      dcto         : out std_logic_vector(OP_W-1 downto 0);
63
      romeaddro0   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
64
      romeaddro1   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
65
      romeaddro2   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
66
      romeaddro3   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
67
      romeaddro4   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
68
      romeaddro5   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
69
      romeaddro6   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
70
      romeaddro7   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
71
      romeaddro8   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
72
      romeaddro9   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
73
      romeaddro10  : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
74
      romoaddro0   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
75
      romoaddro1   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
76
      romoaddro2   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
77
      romoaddro3   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
78
      romoaddro4   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
79
      romoaddro5   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
80
      romoaddro6   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
81
      romoaddro7   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
82
      romoaddro8   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
83
      romoaddro9   : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
84
      romoaddro10  : out STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
85
      ramraddro    : out STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0);
86
      requestrd    : out STD_LOGIC;
87
      releaserd    : out STD_LOGIC
88
 
89
                );
90
end DCT2D;
91
 
92
architecture RTL of DCT2D is
93
 
94
  type STATE2_T is
95
  (
96
    IDLE,
97
    GET_ROM,
98
    SUM,
99
    WRITE_ODD
100
  );
101
 
102
  type ISTATE2_T is
103
  (
104
    IDLE_I,
105 7 mikel262
    ACQUIRE_1ROW
106 2 mikel262
  );
107
 
108
  type input_data2 is array (N-1 downto 0) of SIGNED(RAMDATA_W downto 0);
109
 
110
  signal databuf_reg    : input_data2;
111
  signal latchbuf_reg   : input_data2;
112
  signal col_reg        : UNSIGNED(RAMADRR_W/2-1 downto 0);
113
  signal row_reg        : UNSIGNED(RAMADRR_W/2-1 downto 0);
114
  signal state_reg      : STATE2_T;
115
  signal istate_reg     : ISTATE2_T;
116
  signal cnt_reg        : UNSIGNED(3 downto 0);
117
  signal latch_done_reg : STD_LOGIC;
118
  signal rowram_reg     : UNSIGNED(RAMADRR_W/2-1 downto 0);
119
  signal colram_reg     : UNSIGNED(RAMADRR_W/2 downto 0);
120
  signal requestrd_reg  : STD_LOGIC;
121
  signal releaserd_reg  : STD_LOGIC;
122
  signal completed_reg  : STD_LOGIC;
123
  signal col_tmp_reg    : UNSIGNED(RAMADRR_W/2-1 downto 0);
124
 
125
begin
126
 
127
  ramraddro_sg:
128
  ramraddro  <= STD_LOGIC_VECTOR(rowram_reg & colram_reg(2 downto 0));
129
 
130
  requestrd_sg:
131
  requestrd  <= requestrd_reg;
132
 
133
  releaserd_sg:
134
  releaserd  <= releaserd_reg;
135
 
136
  GET_PROC : process(rst,clk)
137
  begin
138
    if rst = '1' then
139
      rowram_reg     <= (others => '0');
140
      colram_reg     <= (others => '0');
141
      latchbuf_reg   <= (others => (others => '0'));
142
      istate_reg     <= IDLE_I;
143
      latch_done_reg <= '0';
144
      completed_reg  <= '0';
145
      requestrd_reg  <= '0';
146
      releaserd_reg  <= '0';
147
    elsif clk = '1' and clk'event then
148
      case istate_reg is
149
 
150
        ----------------------
151
        -- IDLE
152
        ----------------------
153
        when IDLE_I =>
154
          -- one of ram buffers has new data
155
          if dataready = '1' then
156
            requestrd_reg <= '1';
157
          end if;
158
          -- give 1T delay needed by DBUFCTL
159
          if requestrd_reg = '1' then
160
            requestrd_reg <= '0';
161
            istate_reg <= ACQUIRE_1ROW;
162
          end if;
163
 
164
        ----------------------
165
        -- latch input data to barrel shifter
166
        ----------------------
167
        when ACQUIRE_1ROW =>
168
 
169 7 mikel262
          if latch_done_reg = '0' then
170
            -- not starting from zero b/c of RAM 1T delay
171
            if colram_reg /= 0 then
172
              -- right shift input data
173
              latchbuf_reg(N-2 downto 0) <= latchbuf_reg(N-1 downto 1);
174
              latchbuf_reg(N-1)          <= RESIZE(SIGNED(ramdatao),RAMDATA_W+1);
175
            end if;
176
 
177
            colram_reg  <= colram_reg + 1;
178 2 mikel262
 
179 7 mikel262
            -- not N-1
180
            if colram_reg = N then
181
              -- finished reading 64 point 1D DCT from RAM
182
              if rowram_reg = N-1 then
183
                -- release memory
184
                releaserd_reg <= '1';
185
                completed_reg <= '1';
186
              end if;
187
              colram_reg  <= (others => '0');
188
              rowram_reg  <= rowram_reg + 1;
189
              -- 8 point input latched
190
              latch_done_reg <= '1';
191
              --istate_reg  <= WAITF;
192
            end if;
193 2 mikel262
 
194 7 mikel262
            -- failure to allocate memory buffer
195
            -- should never happen?
196
            if reqrdfail = '1' then
197
              istate_reg <= IDLE_I;
198
            end if;
199
          else
200
            releaserd_reg <= '0';
201
            -- wait until DCT1D_PROC process 1D DCT computation
202
            -- before latching new 8 input words
203
            if state_reg = IDLE then
204
              latch_done_reg  <= '0';
205
              if completed_reg = '1' then
206
                completed_reg <= '0';
207
                istate_reg    <= IDLE_I;
208
              else
209
                istate_reg <= ACQUIRE_1ROW;
210
              end if;
211 2 mikel262
            end if;
212 7 mikel262
          end if;
213 2 mikel262
 
214
        when others =>
215
          istate_reg <= IDLE_I;
216
      end case;
217
    end if;
218
  end process;
219
 
220
 
221
  DCT1D_PROC: process(rst, clk)
222
  begin
223
    if rst = '1' then
224
      col_reg      <= (others => '0');
225
      row_reg      <= (others => '0');
226
      state_reg    <= IDLE;
227
      cnt_reg      <= (others => '0');
228
      databuf_reg  <= (others => (others => '0'));
229
      odv           <= '0';
230
      dcto          <= (others => '0');
231
      col_tmp_reg   <= (others => '0');
232
    elsif rising_edge(clk) then
233
 
234
      case state_reg is
235
 
236
        ----------------------
237
        -- wait for input data
238
        ----------------------
239
        when IDLE =>
240
 
241
          odv <= '0';
242
          -- wait until 8 input words are latched in latchbuf_reg
243
          -- by GET_PROC
244
          if latch_done_reg = '1' then
245
            -- after this sum databuf_reg is in range of -256 to 254 (min to max)
246
            databuf_reg(0)  <= latchbuf_reg(0)+latchbuf_reg(7);
247
            databuf_reg(1)  <= latchbuf_reg(1)+latchbuf_reg(6);
248
            databuf_reg(2)  <= latchbuf_reg(2)+latchbuf_reg(5);
249
            databuf_reg(3)  <= latchbuf_reg(3)+latchbuf_reg(4);
250
            databuf_reg(4)  <= latchbuf_reg(0)-latchbuf_reg(7);
251
            databuf_reg(5)  <= latchbuf_reg(1)-latchbuf_reg(6);
252
            databuf_reg(6)  <= latchbuf_reg(2)-latchbuf_reg(5);
253
            databuf_reg(7)  <= latchbuf_reg(3)-latchbuf_reg(4);
254
            state_reg   <= GET_ROM;
255
          end if;
256
 
257
        ----------------------
258
        -- get MAC results from ROM even and ROM odd memories
259
        ----------------------
260
        when GET_ROM =>
261
 
262 4 mikel262
           odv <= '0';
263 2 mikel262
 
264
           state_reg <= SUM;
265
 
266
        ---------------------
267
        -- do distributed arithmetic sum on even part,
268
        -- write even part to RAM
269
        ---------------------
270
        when SUM =>
271
 
272
          -- (a0 +
273
          -- a1*2 +
274
          -- (a2 + a3*2)*4 +
275
          -- a4 * 2^4 +
276
          -- a5*2 * 2^4 +
277
          -- (a6 +
278
          -- a7*2)*2^6 )/
279
          -- 2^11
280
          dcto <= STD_LOGIC_VECTOR(RESIZE
281
            (RESIZE(SIGNED(romedatao0),DA2_W) +
282
            (RESIZE(SIGNED(romedatao1),DA2_W-1) & '0') +
283
            (RESIZE(SIGNED(romedatao2),DA2_W-2) & "00") +
284
            (RESIZE(SIGNED(romedatao3),DA2_W-3) & "000") +
285
            (RESIZE(SIGNED(romedatao4),DA2_W-4) & "0000") +
286
            (RESIZE(SIGNED(romedatao5),DA2_W-5) & "00000") +
287
            (RESIZE(SIGNED(romedatao6),DA2_W-6) & "000000") +
288
            (RESIZE(SIGNED(romedatao7),DA2_W-7) & "0000000") +
289
            (RESIZE(SIGNED(romedatao8),DA2_W-8) & "00000000") +
290
            (RESIZE(SIGNED(romedatao9),DA2_W-9) & "000000000") -
291
            (RESIZE(SIGNED(romedatao10),DA2_W-10) & "0000000000"),
292
            DA2_W)(DA2_W-1 downto 12));
293
 
294
          -- write even part
295
          odv   <= '1';
296
 
297
          col_reg <= col_reg + 1;
298
          col_tmp_reg <= col_reg + 2;
299 4 mikel262
 
300 2 mikel262
          state_reg <= WRITE_ODD;
301
 
302
        ---------------------
303
        -- do distributed arithmetic sum on odd part,
304
        -- write odd part to RAM
305
        ---------------------
306
        when WRITE_ODD =>
307
 
308
          dcto <= STD_LOGIC_VECTOR(RESIZE
309
            (RESIZE(SIGNED(romodatao0),DA2_W) +
310
            (RESIZE(SIGNED(romodatao1),DA2_W-1) & '0') +
311
            (RESIZE(SIGNED(romodatao2),DA2_W-2) & "00") +
312
            (RESIZE(SIGNED(romodatao3),DA2_W-3) & "000") +
313
            (RESIZE(SIGNED(romodatao4),DA2_W-4) & "0000") +
314
            (RESIZE(SIGNED(romodatao5),DA2_W-5) & "00000") +
315
            (RESIZE(SIGNED(romodatao6),DA2_W-6) & "000000") +
316
            (RESIZE(SIGNED(romodatao7),DA2_W-7) & "0000000") +
317
            (RESIZE(SIGNED(romodatao8),DA2_W-8) & "00000000") +
318
            (RESIZE(SIGNED(romodatao9),DA2_W-9) & "000000000") -
319
            (RESIZE(SIGNED(romodatao10),DA2_W-10) & "0000000000"),
320
            DA2_W)(DA2_W-1 downto 12));
321
 
322
          col_reg <= col_reg + 1;
323 4 mikel262
          col_tmp_reg <= col_reg + 1;
324 2 mikel262
 
325
          -- finished processing one input row (1 x N)
326
          if col_reg = N - 1 then
327
            row_reg <= row_reg + 1;
328
            col_reg <= (others => '0');
329 4 mikel262
            col_tmp_reg <= (others => '0');
330 2 mikel262
            state_reg  <= IDLE;
331
          else
332
            state_reg  <= SUM;
333
          end if;
334
 
335
        -----------------
336
        when others =>
337
          state_reg  <= IDLE;
338
      end case;
339
    end if;
340
  end process;
341 4 mikel262
 
342
  -- read precomputed MAC results from LUT
343
  romeaddro0 <= STD_LOGIC_VECTOR(col_tmp_reg(RAMADRR_W/2-1 downto 1)) &
344
           databuf_reg(0)(0) &
345
           databuf_reg(1)(0) &
346
           databuf_reg(2)(0) &
347
           databuf_reg(3)(0);
348
  romeaddro1 <= STD_LOGIC_VECTOR(col_tmp_reg(RAMADRR_W/2-1 downto 1)) &
349
           databuf_reg(0)(1) &
350
           databuf_reg(1)(1) &
351
           databuf_reg(2)(1) &
352
           databuf_reg(3)(1);
353
  romeaddro2 <= STD_LOGIC_VECTOR(col_tmp_reg(RAMADRR_W/2-1 downto 1)) &
354
           databuf_reg(0)(2) &
355
           databuf_reg(1)(2) &
356
           databuf_reg(2)(2) &
357
           databuf_reg(3)(2);
358
  romeaddro3 <= STD_LOGIC_VECTOR(col_tmp_reg(RAMADRR_W/2-1 downto 1)) &
359
           databuf_reg(0)(3) &
360
           databuf_reg(1)(3) &
361
           databuf_reg(2)(3) &
362
           databuf_reg(3)(3);
363
  romeaddro4 <= STD_LOGIC_VECTOR(col_tmp_reg(RAMADRR_W/2-1 downto 1)) &
364
           databuf_reg(0)(4) &
365
           databuf_reg(1)(4) &
366
           databuf_reg(2)(4) &
367
           databuf_reg(3)(4);
368
  romeaddro5  <= STD_LOGIC_VECTOR(col_tmp_reg(RAMADRR_W/2-1 downto 1)) &
369
           databuf_reg(0)(5) &
370
           databuf_reg(1)(5) &
371
           databuf_reg(2)(5) &
372
           databuf_reg(3)(5);
373
  romeaddro6  <= STD_LOGIC_VECTOR(col_tmp_reg(RAMADRR_W/2-1 downto 1)) &
374
           databuf_reg(0)(6) &
375
           databuf_reg(1)(6) &
376
           databuf_reg(2)(6) &
377
           databuf_reg(3)(6);
378
  romeaddro7  <= STD_LOGIC_VECTOR(col_tmp_reg(RAMADRR_W/2-1 downto 1)) &
379
           databuf_reg(0)(7) &
380
           databuf_reg(1)(7) &
381
           databuf_reg(2)(7) &
382
           databuf_reg(3)(7);
383
  romeaddro8  <= STD_LOGIC_VECTOR(col_tmp_reg(RAMADRR_W/2-1 downto 1)) &
384
           databuf_reg(0)(8) &
385
           databuf_reg(1)(8) &
386
           databuf_reg(2)(8) &
387
           databuf_reg(3)(8);
388
  romeaddro9  <= STD_LOGIC_VECTOR(col_tmp_reg(RAMADRR_W/2-1 downto 1)) &
389
           databuf_reg(0)(9) &
390
           databuf_reg(1)(9) &
391
           databuf_reg(2)(9) &
392
           databuf_reg(3)(9);
393
  romeaddro10  <= STD_LOGIC_VECTOR(col_tmp_reg(RAMADRR_W/2-1 downto 1)) &
394
           databuf_reg(0)(10) &
395
           databuf_reg(1)(10) &
396
           databuf_reg(2)(10) &
397
           databuf_reg(3)(10);
398
  -- odd
399
  romoaddro0 <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) &
400
            databuf_reg(4)(0) &
401
            databuf_reg(5)(0) &
402
            databuf_reg(6)(0) &
403
            databuf_reg(7)(0);
404
  romoaddro1 <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) &
405
            databuf_reg(4)(1) &
406
            databuf_reg(5)(1) &
407
            databuf_reg(6)(1) &
408
            databuf_reg(7)(1);
409
  romoaddro2 <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) &
410
            databuf_reg(4)(2) &
411
            databuf_reg(5)(2) &
412
            databuf_reg(6)(2) &
413
            databuf_reg(7)(2);
414
  romoaddro3 <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) &
415
            databuf_reg(4)(3) &
416
            databuf_reg(5)(3) &
417
            databuf_reg(6)(3) &
418
            databuf_reg(7)(3);
419
  romoaddro4 <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) &
420
            databuf_reg(4)(4) &
421
            databuf_reg(5)(4) &
422
            databuf_reg(6)(4) &
423
            databuf_reg(7)(4);
424
  romoaddro5 <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) &
425
            databuf_reg(4)(5) &
426
            databuf_reg(5)(5) &
427
            databuf_reg(6)(5) &
428
            databuf_reg(7)(5);
429
  romoaddro6 <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) &
430
            databuf_reg(4)(6) &
431
            databuf_reg(5)(6) &
432
            databuf_reg(6)(6) &
433
            databuf_reg(7)(6);
434
  romoaddro7 <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) &
435
            databuf_reg(4)(7) &
436
            databuf_reg(5)(7) &
437
            databuf_reg(6)(7) &
438
            databuf_reg(7)(7);
439
  romoaddro8 <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) &
440
            databuf_reg(4)(8) &
441
            databuf_reg(5)(8) &
442
            databuf_reg(6)(8) &
443
            databuf_reg(7)(8);
444
  romoaddro9 <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) &
445
            databuf_reg(4)(9) &
446
            databuf_reg(5)(9) &
447
            databuf_reg(6)(9) &
448
            databuf_reg(7)(9);
449
  romoaddro10 <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) &
450
            databuf_reg(4)(10) &
451
            databuf_reg(5)(10) &
452
            databuf_reg(6)(10) &
453
            databuf_reg(7)(10);
454 2 mikel262
 
455
end RTL;
456
--------------------------------------------------------------------------------
457
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.