OpenCores
URL https://opencores.org/ocsvn/mdct/mdct/trunk

Subversion Repositories mdct

[/] [mdct/] [trunk/] [source/] [testbench/] [MDCT_TB.DO] - Blame information for rev 27

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mikel262
#-----------------------------------------------------------------------------#
2
#                                                                             #
3
#                         M A C R O    F I L E                                #
4
#                          COPYRIGHT (C) 2006                                 #
5
#                                                                             #
6
#-----------------------------------------------------------------------------#
7
#-
8
#- Title       : MDCT_TB.DO
9
#- Design      : MDCT Core
10
#- Author      : Michal Krepa
11
#-
12
#------------------------------------------------------------------------------
13
#-
14
#- File        : MDCT_TB.DO
15
#- Created     : Sat Mar 5 2006
16
#-
17
#------------------------------------------------------------------------------
18
#-
19
#-  Description : ModelSim macro for compilation
20
#-
21
#------------------------------------------------------------------------------
22
 
23
 
24 18 mikel262
set ROM_MODEL XILINX
25
#set ROM_MODEL GENERIC
26 11 mikel262
 
27 2 mikel262
vlib work
28
vmap work work
29 18 mikel262
 
30 2 mikel262
vcom SOURCE/MDCT_PKG.vhd
31 11 mikel262
if {$ROM_MODEL=="XILINX"} {
32 18 mikel262
  vcom -87 SOURCE/XILINX/ROME_XIL.VHD
33
  vcom -87 SOURCE/XILINX/ROME.VHD
34
  vcom -87 SOURCE/XILINX/ROMO_XIL.VHD
35
  vcom -87 SOURCE/XILINX/ROMO.VHD
36 11 mikel262
} else {
37 18 mikel262
  vcom -87 SOURCE/ROME.VHD
38
  vcom -87 SOURCE/ROMO.VHD
39 11 mikel262
}
40 18 mikel262
vcom -87 SOURCE/RAM.VHD
41
vcom -87 SOURCE/DCT1D.VHD
42
vcom -87 SOURCE/DCT2D.VHD
43
vcom -87 SOURCE/DBUFCTL.VHD
44
vcom -87 SOURCE/MDCT.VHD
45
vcom -87 SOURCE/TESTBENCH/MDCTTB_PKG.VHD
46
vcom -87 SOURCE/TESTBENCH/random1.VHD
47
vcom -87 SOURCE/TESTBENCH/CLKGEN.VHD
48
vcom -93 SOURCE/TESTBENCH/INPIMAGE.VHD
49
vcom -87 SOURCE/TESTBENCH/MDCT_TB.VHD

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.