OpenCores
URL https://opencores.org/ocsvn/mem_ctrl/mem_ctrl/trunk

Subversion Repositories mem_ctrl

[/] [mem_ctrl/] [trunk/] [bench/] [verilog/] [sync_cs_dev.v] - Blame information for rev 28

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 rudi
/////////////////////////////////////////////////////////////////////
2
////                                                             ////
3
////  Synchronous Chip Select Device Model                       ////
4
////                                                             ////
5
////                                                             ////
6
////  Author: Rudolf Usselmann                                   ////
7
////          rudi@asics.ws                                      ////
8
////                                                             ////
9
////                                                             ////
10
////  Downloaded from: http://www.opencores.org/cores/mem_ctrl/  ////
11
////                                                             ////
12
/////////////////////////////////////////////////////////////////////
13
////                                                             ////
14
//// Copyright (C) 2000 Rudolf Usselmann                         ////
15
////                    rudi@asics.ws                            ////
16
////                                                             ////
17
//// This source file may be used and distributed without        ////
18
//// restriction provided that this copyright statement is not   ////
19
//// removed from the file and that any derivative work contains ////
20
//// the original copyright notice and the associated disclaimer.////
21
////                                                             ////
22
////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
23
//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
24
//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
25
//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
26
//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
27
//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
28
//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
29
//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
30
//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
31
//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
32
//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
33
//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
34
//// POSSIBILITY OF SUCH DAMAGE.                                 ////
35
////                                                             ////
36
/////////////////////////////////////////////////////////////////////
37
 
38
//  CVS Log
39
//
40
//  $Id: sync_cs_dev.v,v 1.1 2001-07-29 07:34:40 rudi Exp $
41
//
42
//  $Date: 2001-07-29 07:34:40 $
43
//  $Revision: 1.1 $
44
//  $Author: rudi $
45
//  $Locker:  $
46
//  $State: Exp $
47
//
48
// Change History:
49
//               $Log: not supported by cvs2svn $
50
//               Revision 1.1.1.1  2001/05/13 09:36:38  rudi
51
//               Created Directory Structure
52
//
53
//
54
//
55
//                        
56
 
57
module sync_cs_dev(clk, addr, dq, cs_, we_, oe_, ack_ );
58
input           clk;
59
input   [15:0]   addr;
60
inout   [31:0]   dq;
61
input           cs_, we_, oe_;
62
output          ack_;
63
 
64
reg     [31:0]   data_o;
65
reg     [31:0]   mem[0:1024];
66
wire            rd, wr;
67
 
68
integer         rd_del;
69
reg     [31:0]   rd_r;
70
wire            rd_d;
71
 
72
integer         wr_del;
73
reg     [31:0]   wr_r;
74
wire            wr_d;
75
 
76
integer         ack_del;
77
reg     [31:0]   ack_r;
78
wire            ack_d;
79
 
80
initial ack_del = 2;
81
initial rd_del  = 7;
82
initial wr_del  = 3;
83
 
84
task mem_fill;
85
 
86
integer n;
87
 
88
begin
89
 
90
for(n=0;n<1024;n=n+1)
91
        mem[n] = $random;
92
 
93
end
94
endtask
95
 
96
 
97
assign dq = rd_d ? data_o : 32'hzzzz_zzzz;
98
 
99
assign rd = ~cs_ &  we_ & ~oe_;
100
assign wr = ~cs_ & ~we_;
101
 
102
always @(posedge clk)
103
        if(~rd)         rd_r <= #1 0;
104
        else            rd_r <= #1 {rd_r[30:0], rd};
105
assign rd_d = rd_r[rd_del] & rd;
106
 
107
always @(posedge clk)
108
        if(~wr)         wr_r <= #1 0;
109
        else            wr_r <= #1 {wr_r[30:0], wr};
110
assign wr_d = wr_r[wr_del] & wr;
111
 
112
always @(posedge clk)
113
        data_o <= #1 mem[addr[9:0]];
114
 
115
always @(posedge clk)
116
        if(wr_d) mem[addr[9:0]] <= #1 dq;
117
 
118
assign ack_d = rd | wr;
119
always @(posedge clk)
120
        if(~rd & ~wr)   ack_r <= #1 0;
121
        else            ack_r <= #1 {ack_r[30:0], ack_d};
122
 
123
assign  ack_ = ack_r[ack_del] & ack_d;
124
 
125
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.