OpenCores
URL https://opencores.org/ocsvn/mem_ctrl/mem_ctrl/trunk

Subversion Repositories mem_ctrl

[/] [mem_ctrl/] [trunk/] [sim/] [rtl_sim/] [bin/] [Makefile] - Blame information for rev 28

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 rudi
 
2 8 rudi
all:    sim
3 4 rudi
SHELL = /bin/sh
4 8 rudi
MS="-s"
5 4 rudi
 
6 8 rudi
##########################################################################
7
#
8
# DUT Sources
9
#
10
##########################################################################
11
DUT_SRC_DIR=../../../rtl/verilog
12
_TARGETS_=      $(DUT_SRC_DIR)/mc_top.v                 \
13
                $(DUT_SRC_DIR)/mc_wb_if.v               \
14
                $(DUT_SRC_DIR)/mc_cs_rf.v               \
15
                $(DUT_SRC_DIR)/mc_rf.v                  \
16
                $(DUT_SRC_DIR)/mc_adr_sel.v             \
17
                $(DUT_SRC_DIR)/mc_dp.v                  \
18
                $(DUT_SRC_DIR)/mc_rd_fifo.v             \
19
                $(DUT_SRC_DIR)/mc_refresh.v             \
20
                $(DUT_SRC_DIR)/mc_obct.v                \
21
                $(DUT_SRC_DIR)/mc_obct_top.v            \
22
                $(DUT_SRC_DIR)/mc_timing.v              \
23
                $(DUT_SRC_DIR)/mc_mem_if.v              \
24
                $(DUT_SRC_DIR)/mc_incn_r.v              \
25 4 rudi
 
26
 
27 8 rudi
##########################################################################
28
#
29
# Test Bench Sources
30
#
31
##########################################################################
32
_TOP_=test
33
TB_SRC_DIR=../../../bench/verilog
34
_TB_=           $(TB_SRC_DIR)/test_bench_top.v                          \
35
                $(TB_SRC_DIR)/sync_cs_dev.v                             \
36
                $(TB_SRC_DIR)/wb_mast_model.v                           \
37
                $(TB_SRC_DIR)/160b3ver/adv_bb.v                         \
38
                $(TB_SRC_DIR)/sram_models/MicronSRAM/mt58l1my18d.v      \
39
                $(TB_SRC_DIR)/sram_models/IDT71T67802/idt71t67802s133.v \
40
                $(TB_SRC_DIR)/sdram_models/2Mx32/mt48lc2m32b2.v         \
41 4 rudi
 
42
 
43 8 rudi
##########################################################################
44
#
45
# Misc Variables
46
#
47
##########################################################################
48 4 rudi
 
49 8 rudi
INCDIR="-INCDIR ./$(DUT_SRC_DIR)/ -INCDIR ./$(TB_SRC_DIR)/"
50
LOGF=-LOGFILE .nclog
51
NCCOMMON=-CDSLIB ncwork/cds.lib -HDLVAR ncwork/hdl.var -NOCOPYRIGHT
52 4 rudi
 
53 8 rudi
##########################################################################
54
#
55
# Make Targets
56
#
57
##########################################################################
58
simw:
59
        @$(MAKE) -s sim ACCESS="-ACCESS +r " WAVES="-DEFINE WAVES"
60 4 rudi
 
61 8 rudi
ss:
62
        signalscan -do waves/waves.do -waves waves/waves.trn &
63 4 rudi
 
64 8 rudi
simxl:
65
        verilog +incdir+$(DUT_SRC_DIR) +incdir+$(TB_SRC_DIR)    \
66
        $(_TARGETS_) $(_TB_)
67 4 rudi
 
68 8 rudi
sim:
69
        @echo ""
70
        @echo "----- Running NCVLOG ... ----------"
71
        @$(MAKE) $(MS) vlog                             \
72
                TARGETS="$(_TARGETS_)"                  \
73
                TB="$(_TB_)"                            \
74
                INCDIR=$(INCDIR)                        \
75
                WAVES="$(WAVES)"
76
        @echo ""
77
        @echo "----- Running NCELAB ... ----------"
78
        @$(MAKE) $(MS) elab                             \
79
                ACCESS="$(ACCESS)" TOP=$(_TOP_)
80
        @echo ""
81
        @echo "----- Running NCSIM ... ----------"
82
        @$(MAKE) $(MS) ncsim TOP=$(_TOP_)
83
        @echo ""
84 4 rudi
 
85 8 rudi
hal:
86
        @echo ""
87
        @echo "----- Running HAL ... ----------"
88
        @hal    +incdir+$(DUT_SRC_DIR)                          \
89
                -NOP -NOS -nocheck STYVAL:USEPRT:NOBLKN:DLNBLK  \
90
                $(_TARGETS_)
91
        @echo "----- DONE ... ----------"
92 4 rudi
 
93
clean:
94 8 rudi
        rm -rf  ./waves/*.dsn ./waves/*.trn             \
95
                ncwork/.inc* ncwork/inc*                \
96
                ./verilog.* .nclog hal.log
97 4 rudi
 
98 8 rudi
##########################################################################
99 4 rudi
#
100
# NCVLOG
101
#
102 8 rudi
##########################################################################
103 4 rudi
 
104 8 rudi
vhdl:
105
        ncvhdl $(NCCOMMON) $(LOGF) -APPEND_LOG                  \
106
                -WORK count -V93 hdl/counter.vhd
107
        ncvhdl $(NCCOMMON) $(LOGF) -APPEND_LOG                  \
108
                -WORK work -V93 $(TARGETS)
109 4 rudi
 
110
vlog:
111 8 rudi
        ncvlog $(NCCOMMON) $(LOGF)                              \
112
                -WORK work $(WAVES) $(TB) $(TARGETS) $(INCDIR)
113 4 rudi
 
114 8 rudi
##########################################################################
115 4 rudi
#
116
# NCELAB
117
#
118 8 rudi
##########################################################################
119 4 rudi
 
120
elab:
121 8 rudi
        ncelab  $(NCCOMMON) $(LOGF) -APPEND_LOG                 \
122
                -WORK work $(ACCESS) -NOTIMINGCHECKS            \
123
                work.$(TOP)
124 4 rudi
 
125 8 rudi
##########################################################################
126 4 rudi
#
127
# NCSIM
128
#
129 8 rudi
##########################################################################
130 4 rudi
 
131 8 rudi
ncsim:
132
        ncsim   $(NCCOMMON) $(LOGF) -APPEND_LOG                 \
133
                -EXIT -ERRORMAX 10 work.$(TOP)
134 4 rudi
 
135
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.