OpenCores
URL https://opencores.org/ocsvn/microriscii/microriscii/trunk

Subversion Repositories microriscii

[/] [microriscii/] [trunk/] [verilog/] [rtl/] [if.v] - Blame information for rev 17

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 alikat
//--------------------------------------------------------------------------------------------------
2
//
3
// Title       : if
4
// Design      : MicroRISCII
5
// Author      : Ali Mashtizadeh
6
//
7
//-------------------------------------------------------------------------------------------------
8
`timescale 1ps / 1ps
9
 
10
module wb(clk,if_halt,idata_in,idata_addr,idata_ready,opcode,opcode_pc);
11
        // Inputs
12
        input                   clk;
13
        wire                    clk;
14
        input                   if_halt;
15
        wire                    if_halt;
16
        input   [31:0]   idata_in;
17
        wire    [31:0]   idata_in;
18
        input   [31:0]   idata_addr;
19
        wire    [31:0]   idata_addr;
20
        input                   idata_ready;
21
        wire                    idata_ready;
22
        // Outputs
23
        output  [31:0]   opcode;
24
        reg             [31:0]   opcode;
25
        output  [31:0]   opcode_pc;
26
        reg             [31:0]   opcode_pc;
27
 
28
        always @ (posedge clk)
29
                if (if_halt == 1'b0)
30
                        if (idata_ready == 1'b1)
31
                                begin
32
                                        opcode = idata_in;
33
                                        opcode_pc = idata_addr;
34
                                end
35
                        else // NOP Until Instruction Found
36
                                begin // Don't stall pipeline ;)
37
                                        opcode = 32'b0;
38
                                        opcode_pc = 32'b0;
39
                                end
40
 
41
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.