OpenCores
URL https://opencores.org/ocsvn/microriscii/microriscii/trunk

Subversion Repositories microriscii

[/] [microriscii/] [trunk/] [verilog/] [rtl/] [lu.v] - Blame information for rev 17

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 alikat
//--------------------------------------------------------------------------------------------------
2
//
3
// Title       : lu
4
// Design      : MicroRISCII
5
// Author      : Ali Mashtizadeh
6
//
7
//--------------------------------------------------------------------------------------------------
8
`timescale 1ps / 1ps
9
 
10
`define         LOGIC_NOT       4'b00
11
`define         LOGIC_OR        4'b01
12
`define         LOGIC_AND       4'b10
13
`define         LOGIC_XOR       4'b11
14
 
15
module lu(a,b,logic_op,o);
16
        // Inputs
17
        input   [31:0]   a;
18
        wire    [31:0]   a;
19
        input   [31:0]   b;
20
        wire    [31:0]   b;
21
        input   [3:0]    logic_op;
22
        wire    [3:0]    logic_op;
23
        // Outputs
24
        output  [31:0]   o;
25
        reg             [31:0]   o;
26
 
27
        always @ (logic_op || a || b)
28
                case (logic_op)
29
                        `LOGIC_NOT :  o = !(a);
30
                        `LOGIC_OR : o = a || b;
31
                        `LOGIC_AND : o = a && b;
32
                        `LOGIC_XOR : o = a ^^ b;
33
                endcase
34
 
35
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.