OpenCores
URL https://opencores.org/ocsvn/mini_aes/mini_aes/trunk

Subversion Repositories mini_aes

[/] [mini_aes/] [trunk/] [bench/] [modelsim_bench.do] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 arif_endro
# $Id: modelsim_bench.do,v 1.1.1.1 2005-12-06 02:47:46 arif_endro Exp $
2
 
3
# destroy .wave
4
 
5
quit -sim
6
vlib work
7
vcom -cover bcesx ../source/bram_block_a.vhdl
8
vcom -cover bcesx ../source/bram_block_b.vhdl
9
vcom -cover bcesx ../source/counter2bit.vhdl
10
vcom -cover bcesx ../source/key_scheduler.vhdl
11
vcom -cover bcesx ../source/xtime.vhdl
12
vcom -cover bcesx ../source/mix_column.vhdl
13
vcom -cover bcesx ../source/folded_register.vhdl
14
vcom -cover bcesx ../source/mini_aes.vhdl
15
 
16
vcom -cover bcesx input.vhdl
17
vcom -cover bcesx output.vhdl
18
vcom -cover bcesx modelsim_bench.vhdl
19
 
20
# vsim -coverage modelsim_bench
21
vsim modelsim_bench
22
 
23
# .wave.tree zoomrange {0ns} {200ns}
24
# .wave.tree zoomrange {4000ns} {4400ns}
25
# .wave.tree zoomin 2
26
 
27
add wave      sim:/modelsim_bench/clock_enc
28
add wave      sim:/modelsim_bench/clear_enc
29
add wave      sim:/modelsim_bench/my_aes_enc/enc
30
add wave      sim:/modelsim_bench/done_enc
31
add wave      sim:/modelsim_bench/test_iteration_enc
32
add wave -hex sim:/modelsim_bench/data_i_enc
33
add wave -hex sim:/modelsim_bench/key_i_enc
34
add wave -hex sim:/modelsim_bench/cipher_o_enc
35
add wave -hex sim:/modelsim_bench/data_o_enc
36
add wave      sim:/modelsim_bench/my_output_enc/passed
37
add wave      sim:/modelsim_bench/my_output_enc/failed
38
 
39
add wave      sim:/modelsim_bench/clock_dec
40
add wave      sim:/modelsim_bench/clear_dec
41
add wave      sim:/modelsim_bench/my_aes_dec/enc
42
add wave      sim:/modelsim_bench/done_dec
43
add wave      sim:/modelsim_bench/test_iteration_dec
44
add wave -hex sim:/modelsim_bench/data_i_dec
45
add wave -hex sim:/modelsim_bench/key_i_dec
46
add wave -hex sim:/modelsim_bench/cipher_o_dec
47
add wave -hex sim:/modelsim_bench/data_o_dec
48
add wave      sim:/modelsim_bench/my_output_dec/passed
49
add wave      sim:/modelsim_bench/my_output_dec/failed
50
 
51
run 21300ns

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.