OpenCores
URL https://opencores.org/ocsvn/mini_aes/mini_aes/trunk

Subversion Repositories mini_aes

[/] [mini_aes/] [trunk/] [bench/] [modelsim_bench.vhdl] - Blame information for rev 15

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 arif_endro
-- $Id: modelsim_bench.vhdl,v 1.2 2005-12-23 04:27:00 arif_endro Exp $
2 2 arif_endro
-------------------------------------------------------------------------------
3
-- Title       : ModelSim bench
4
-- Project     : Mini AES 128 
5
-------------------------------------------------------------------------------
6
-- File        : modelsim_bench.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2005/12/03
9
-- Last update : 
10
-- Simulators  : ModelSim SE PLUS 6.0
11
-- Synthesizers: ISE Xilinx 6.3i
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Top module to connect all component in test bench.
15
-------------------------------------------------------------------------------
16
-- Copyright (C) 2005 Arif E. Nugroho
17
-- This VHDL design file is an open design; you can redistribute it and/or
18
-- modify it and/or implement it after contacting the author
19
-------------------------------------------------------------------------------
20
-------------------------------------------------------------------------------
21
-- 
22
--         THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
23
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
24
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
25
-- ASSOCIATED DISCLAIMER.
26
-- 
27
-------------------------------------------------------------------------------
28
-- 
29
--         THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
30
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
31
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
32
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
33
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
34
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
35
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
36
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
37
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
38
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
39
-- 
40
-------------------------------------------------------------------------------
41
 
42
library ieee;
43
use ieee.std_logic_1164.all;
44
 
45
entity modelsim_bench is
46
end modelsim_bench;
47
 
48
architecture structural of modelsim_bench is
49
 
50
  component mini_aes
51
    port (
52
      clock          : in  std_logic;
53
      clear          : in  std_logic;
54 7 arif_endro
      load_i         : in  std_logic;
55 2 arif_endro
      enc            : in  std_logic;
56 7 arif_endro
      key_i          : in  std_logic_vector (007 downto 000);
57
      data_i         : in  std_logic_vector (007 downto 000);
58
      data_o         : out std_logic_vector (007 downto 000);
59 2 arif_endro
      done_o         : out std_logic
60
      );
61
  end component;
62
--
63
  component input
64
    port (
65
      clock          : out std_logic;
66 7 arif_endro
      load           : out std_logic;
67 2 arif_endro
      done           : in  std_logic;
68
      test_iteration : out integer;
69 7 arif_endro
      key_i_byte     : out std_logic_vector (007 downto 000);
70
      data_i_byte    : out std_logic_vector (007 downto 000);
71
      cipher_o_byte  : out std_logic_vector (007 downto 000)
72 2 arif_endro
      );
73
  end component;
74
--
75
  component output
76
    port (
77
      clock          : in  std_logic;
78 7 arif_endro
      clear          : in  std_logic;
79
      load           : in  std_logic;
80 2 arif_endro
      enc            : in  std_logic;
81
      done           : in  std_logic;
82
      test_iteration : in  integer;
83 7 arif_endro
      verifier       : in  std_logic_vector (007 downto 000);
84
      data_o         : in  std_logic_vector (007 downto 000)
85 2 arif_endro
      );
86
  end component;
87
 
88 7 arif_endro
  signal load_enc           : std_logic;
89
  signal load_dec           : std_logic;
90 2 arif_endro
  signal clock_enc          : std_logic;
91
  signal clock_dec          : std_logic;
92
  signal done_dec           : std_logic;
93
  signal done_enc           : std_logic;
94
  signal test_iteration_enc : integer;
95
  signal test_iteration_dec : integer;
96 7 arif_endro
  signal cipher_o_enc       : std_logic_vector (007 downto 000);
97
  signal cipher_o_dec       : std_logic_vector (007 downto 000);
98
  signal data_i_enc         : std_logic_vector (007 downto 000);
99
  signal data_i_dec         : std_logic_vector (007 downto 000);
100
  signal data_o_enc         : std_logic_vector (007 downto 000);
101
  signal data_o_dec         : std_logic_vector (007 downto 000);
102
  signal key_i_enc          : std_logic_vector (007 downto 000);
103
  signal key_i_dec          : std_logic_vector (007 downto 000);
104 2 arif_endro
 
105
begin
106
 
107
  my_aes_enc    : mini_aes
108
    port map (
109
      clock          => clock_enc,
110 7 arif_endro
      clear          => '0',
111
      load_i         => load_enc,
112 2 arif_endro
      enc            => '0',
113
      key_i          => key_i_enc,
114
      data_i         => data_i_enc,
115
      data_o         => data_o_enc,
116
      done_o         => done_enc
117
      );
118
--
119
  my_aes_dec    : mini_aes
120
    port map (
121
      clock          => clock_dec,
122 7 arif_endro
      clear          => '0',
123
      load_i         => load_dec,
124 2 arif_endro
      enc            => '1',
125
      key_i          => key_i_dec,
126
      data_i         => cipher_o_dec,
127
      data_o         => data_o_dec,
128
      done_o         => done_dec
129
      );
130
--
131
  my_input_enc  : input
132
    port map (
133
      clock          => clock_enc,
134 7 arif_endro
      load           => load_enc,
135 2 arif_endro
      done           => done_enc,
136
      test_iteration => test_iteration_enc,
137 7 arif_endro
      key_i_byte     => key_i_enc,
138
      data_i_byte    => data_i_enc,
139
      cipher_o_byte  => cipher_o_enc
140 2 arif_endro
      );
141
  my_input_dec  : input
142
    port map (
143
      clock          => clock_dec,
144 7 arif_endro
      load           => load_dec,
145 2 arif_endro
      done           => done_dec,
146
      test_iteration => test_iteration_dec,
147 7 arif_endro
      data_i_byte    => data_i_dec,
148
      cipher_o_byte  => cipher_o_dec,
149
      key_i_byte     => key_i_dec
150 2 arif_endro
      );
151
--
152
  my_output_enc : output
153
    port map (
154
      clock          => clock_enc,
155 7 arif_endro
      clear          => '0',
156
      load           => load_enc,
157 2 arif_endro
      enc            => '0',
158
      done           => done_enc,
159
      test_iteration => test_iteration_enc,
160
      verifier       => cipher_o_enc,
161
      data_o         => data_o_enc
162
      );
163
--
164
  my_output_dec : output
165
    port map (
166
      clock          => clock_dec,
167 7 arif_endro
      clear          => '0',
168
      load           => load_dec,
169 2 arif_endro
      enc            => '1',
170
      done           => done_dec,
171
      test_iteration => test_iteration_dec,
172
      verifier       => data_i_dec,
173
      data_o         => data_o_dec
174
      );
175
 
176
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.