OpenCores
URL https://opencores.org/ocsvn/mini_aes/mini_aes/trunk

Subversion Repositories mini_aes

[/] [mini_aes/] [trunk/] [bench/] [modelsim_bench.vhdl] - Blame information for rev 16

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 arif_endro
-- $Id: modelsim_bench.vhdl,v 1.2 2005-12-23 04:27:00 arif_endro Exp $
2 2 arif_endro
-------------------------------------------------------------------------------
3
-- Title       : ModelSim bench
4
-- Project     : Mini AES 128 
5
-------------------------------------------------------------------------------
6
-- File        : modelsim_bench.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2005/12/03
9
-- Last update : 
10
-- Simulators  : ModelSim SE PLUS 6.0
11
-- Synthesizers: ISE Xilinx 6.3i
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Top module to connect all component in test bench.
15
-------------------------------------------------------------------------------
16 16 arif_endro
-- Copyright (C) 2005 Arif Endro Nugroho
17 2 arif_endro
-------------------------------------------------------------------------------
18
-- 
19
--         THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
20
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
21
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
22
-- ASSOCIATED DISCLAIMER.
23
-- 
24
-------------------------------------------------------------------------------
25
-- 
26
--         THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
27
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
28
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
29
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
30
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
31
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
32
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
33
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
34
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
35
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
36
-- 
37
-------------------------------------------------------------------------------
38
 
39
library ieee;
40
use ieee.std_logic_1164.all;
41
 
42
entity modelsim_bench is
43
end modelsim_bench;
44
 
45
architecture structural of modelsim_bench is
46
 
47
  component mini_aes
48
    port (
49
      clock          : in  std_logic;
50
      clear          : in  std_logic;
51 7 arif_endro
      load_i         : in  std_logic;
52 2 arif_endro
      enc            : in  std_logic;
53 7 arif_endro
      key_i          : in  std_logic_vector (007 downto 000);
54
      data_i         : in  std_logic_vector (007 downto 000);
55
      data_o         : out std_logic_vector (007 downto 000);
56 2 arif_endro
      done_o         : out std_logic
57
      );
58
  end component;
59
--
60
  component input
61
    port (
62
      clock          : out std_logic;
63 7 arif_endro
      load           : out std_logic;
64 2 arif_endro
      done           : in  std_logic;
65
      test_iteration : out integer;
66 7 arif_endro
      key_i_byte     : out std_logic_vector (007 downto 000);
67
      data_i_byte    : out std_logic_vector (007 downto 000);
68
      cipher_o_byte  : out std_logic_vector (007 downto 000)
69 2 arif_endro
      );
70
  end component;
71
--
72
  component output
73
    port (
74
      clock          : in  std_logic;
75 7 arif_endro
      clear          : in  std_logic;
76
      load           : in  std_logic;
77 2 arif_endro
      enc            : in  std_logic;
78
      done           : in  std_logic;
79
      test_iteration : in  integer;
80 7 arif_endro
      verifier       : in  std_logic_vector (007 downto 000);
81
      data_o         : in  std_logic_vector (007 downto 000)
82 2 arif_endro
      );
83
  end component;
84
 
85 7 arif_endro
  signal load_enc           : std_logic;
86
  signal load_dec           : std_logic;
87 2 arif_endro
  signal clock_enc          : std_logic;
88
  signal clock_dec          : std_logic;
89
  signal done_dec           : std_logic;
90
  signal done_enc           : std_logic;
91
  signal test_iteration_enc : integer;
92
  signal test_iteration_dec : integer;
93 7 arif_endro
  signal cipher_o_enc       : std_logic_vector (007 downto 000);
94
  signal cipher_o_dec       : std_logic_vector (007 downto 000);
95
  signal data_i_enc         : std_logic_vector (007 downto 000);
96
  signal data_i_dec         : std_logic_vector (007 downto 000);
97
  signal data_o_enc         : std_logic_vector (007 downto 000);
98
  signal data_o_dec         : std_logic_vector (007 downto 000);
99
  signal key_i_enc          : std_logic_vector (007 downto 000);
100
  signal key_i_dec          : std_logic_vector (007 downto 000);
101 2 arif_endro
 
102
begin
103
 
104
  my_aes_enc    : mini_aes
105
    port map (
106
      clock          => clock_enc,
107 7 arif_endro
      clear          => '0',
108
      load_i         => load_enc,
109 2 arif_endro
      enc            => '0',
110
      key_i          => key_i_enc,
111
      data_i         => data_i_enc,
112
      data_o         => data_o_enc,
113
      done_o         => done_enc
114
      );
115
--
116
  my_aes_dec    : mini_aes
117
    port map (
118
      clock          => clock_dec,
119 7 arif_endro
      clear          => '0',
120
      load_i         => load_dec,
121 2 arif_endro
      enc            => '1',
122
      key_i          => key_i_dec,
123
      data_i         => cipher_o_dec,
124
      data_o         => data_o_dec,
125
      done_o         => done_dec
126
      );
127
--
128
  my_input_enc  : input
129
    port map (
130
      clock          => clock_enc,
131 7 arif_endro
      load           => load_enc,
132 2 arif_endro
      done           => done_enc,
133
      test_iteration => test_iteration_enc,
134 7 arif_endro
      key_i_byte     => key_i_enc,
135
      data_i_byte    => data_i_enc,
136
      cipher_o_byte  => cipher_o_enc
137 2 arif_endro
      );
138
  my_input_dec  : input
139
    port map (
140
      clock          => clock_dec,
141 7 arif_endro
      load           => load_dec,
142 2 arif_endro
      done           => done_dec,
143
      test_iteration => test_iteration_dec,
144 7 arif_endro
      data_i_byte    => data_i_dec,
145
      cipher_o_byte  => cipher_o_dec,
146
      key_i_byte     => key_i_dec
147 2 arif_endro
      );
148
--
149
  my_output_enc : output
150
    port map (
151
      clock          => clock_enc,
152 7 arif_endro
      clear          => '0',
153
      load           => load_enc,
154 2 arif_endro
      enc            => '0',
155
      done           => done_enc,
156
      test_iteration => test_iteration_enc,
157
      verifier       => cipher_o_enc,
158
      data_o         => data_o_enc
159
      );
160
--
161
  my_output_dec : output
162
    port map (
163
      clock          => clock_dec,
164 7 arif_endro
      clear          => '0',
165
      load           => load_dec,
166 2 arif_endro
      enc            => '1',
167
      done           => done_dec,
168
      test_iteration => test_iteration_dec,
169
      verifier       => data_i_dec,
170
      data_o         => data_o_dec
171
      );
172
 
173
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.