OpenCores
URL https://opencores.org/ocsvn/mini_aes/mini_aes/trunk

Subversion Repositories mini_aes

[/] [mini_aes/] [trunk/] [source/] [key_scheduler.vhdl] - Blame information for rev 15

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 arif_endro
-- $Id: key_scheduler.vhdl,v 1.1.1.1 2005-12-06 02:48:32 arif_endro Exp $
2
-------------------------------------------------------------------------------
3
-- Title       : Key Scheduler calculation
4
-- Project     : Mini AES 128 
5
-------------------------------------------------------------------------------
6
-- File        : key_scheduler.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2005/12/03
9
-- Last update : 
10
-- Simulators  : ModelSim SE PLUS 6.0
11
-- Synthesizers: ISE Xilinx 6.3i
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Key Scheduler calculation component
15
-------------------------------------------------------------------------------
16 15 arif_endro
-- Copyright (C) 2005 Arif Endro Nugroho
17 2 arif_endro
-------------------------------------------------------------------------------
18
-- 
19
--         THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
20
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
21
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
22
-- ASSOCIATED DISCLAIMER.
23
-- 
24
-------------------------------------------------------------------------------
25
-- 
26
--         THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
27
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
28
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
29
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
30
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
31
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
32
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
33
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
34
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
35
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
36
-- 
37
-------------------------------------------------------------------------------
38
 
39
library ieee;
40
use ieee.std_logic_1164.all;
41
use ieee.std_logic_unsigned.all;
42
 
43
entity key_scheduler is
44
 
45
  port (
46
    clock : in  std_logic;
47
    load  : in  std_logic;
48
    key_i : in  std_logic_vector (127 downto 00);
49
    key_o : out std_logic_vector (31 downto 00);
50
    done  : out std_logic
51
    );
52
 
53
end key_scheduler;
54
 
55
architecture key_expansion of key_scheduler is
56
 
57
  component bram_block_a
58
    port (
59
      clk_a_i    : in  std_logic;
60
      en_a_i     : in  std_logic;
61
      we_a_i     : in  std_logic;
62
      di_a_i     : in  std_logic_vector (07 downto 00);
63
      addr_a_1_i : in  std_logic_vector (08 downto 00);
64
      addr_a_2_i : in  std_logic_vector (08 downto 00);
65
      do_a_1_o   : out std_logic_vector (07 downto 00);
66
      do_a_2_o   : out std_logic_vector (07 downto 00)
67
      );
68
  end component;
69
--
70
  component bram_block_b
71
    port (
72
      clk_b_i    : in  std_logic;
73
      we_b_i     : in  std_logic;
74
      en_b_i     : in  std_logic;
75
      di_b_i     : in  std_logic_vector (07 downto 00);
76
      addr_b_1_i : in  std_logic_vector (08 downto 00);
77
      addr_b_2_i : in  std_logic_vector (08 downto 00);
78
      do_b_1_o   : out std_logic_vector (07 downto 00);
79
      do_b_2_o   : out std_logic_vector (07 downto 00)
80
      );
81
  end component;
82
--
83
  component counter2bit
84
    port (
85
      clock      : in  std_logic;
86
      clear      : in  std_logic;
87
      count      : out std_logic_vector (1 downto 0));
88
  end component;
89
 
90
  type state_element is array (03 downto 00) of std_logic_vector (07 downto 00);
91
 
92
  signal   clk_a_i        : std_logic;
93
  constant enc            : std_logic                       := '0';
94
  signal   en_a_i         : std_logic;
95
  signal   we_a_i         : std_logic;
96
  signal   di_a_i         : std_logic_vector (07 downto 00) := ( B"0000_0000" );
97
  signal   addr_a_1_i     : std_logic_vector (08 downto 00);
98
  signal   addr_a_2_i     : std_logic_vector (08 downto 00);
99
  signal   do_a_1_o       : std_logic_vector (07 downto 00);
100
  signal   do_a_2_o       : std_logic_vector (07 downto 00);
101
--
102
  signal   clk_b_i        : std_logic;
103
  signal   en_b_i         : std_logic;
104
  signal   we_b_i         : std_logic;
105
  signal   di_b_i         : std_logic_vector (07 downto 00) := ( B"0000_0000" );
106
  signal   addr_b_1_i     : std_logic_vector (08 downto 00);
107
  signal   addr_b_2_i     : std_logic_vector (08 downto 00);
108
  signal   do_b_1_o       : std_logic_vector (07 downto 00);
109
  signal   do_b_2_o       : std_logic_vector (07 downto 00);
110
--
111
  signal   temp           : state_element                   := ( B"00000000", B"00000000", B"00000000", B"00000000" );
112
  signal   side_opt       : state_element                   := ( B"00000000", B"00000000", B"00000000", B"00000000" );
113
  signal   result         : state_element                   := ( B"00000000", B"00000000", B"00000000", B"00000000" );
114
--
115
  signal   rot            : std_logic                       := '0';
116
  signal   count          : std_logic_vector (1 downto 0)   := ( B"00" );
117
  signal   rcon           : std_logic_vector (07 downto 00) := ( X"01" );
118
  constant round_constant : std_logic_vector (79 downto 00) := ( X"01020408_10204080_1B36");
119
  signal   rcon10x8       : std_logic_vector (79 downto 00) := ( X"01020408_10204080_1B36");
120
  signal   fifo12x8       : std_logic_vector (95 downto 00) := ( X"00000000_00000000_00000000");
121
 
122
begin
123
 
124
  clk_a_i <= clock;
125
  clk_b_i <= clock;
126
  en_a_i  <= '1';
127
  en_b_i  <= '1';
128
  we_a_i  <= '0';
129
  we_b_i  <= '0';
130
--
131
  sbox1 : bram_block_a
132
    port map (
133
      clk_a_i    => clk_a_i,
134
      en_a_i     => en_a_i,
135
      we_a_i     => we_a_i,
136
      di_a_i     => di_a_i,
137
      addr_a_1_i => addr_a_1_i,
138
      addr_a_2_i => addr_a_2_i,
139
      do_a_1_o   => do_a_1_o,
140
      do_a_2_o   => do_a_2_o
141
      );
142
--
143
  sbox2 : bram_block_b
144
    port map (
145
      clk_b_i    => clk_b_i,
146
      we_b_i     => we_b_i,
147
      en_b_i     => en_b_i,
148
      di_b_i     => di_b_i,
149
      addr_b_1_i => addr_b_1_i,
150
      addr_b_2_i => addr_b_2_i,
151
      do_b_1_o   => do_b_1_o,
152
      do_b_2_o   => do_b_2_o
153
      );
154
--
155
  rc    : counter2bit
156
    port map (
157
      clock      => clock,
158
      clear      => load,
159
      count      => count
160
      );
161
 
162
  ---------------------------------------------------------------
163
  -- key input 127 - 96 => column 0
164
  -- key input  95 - 64 => column 1
165
  -- key input  63 - 32 => column 2
166
  -- key input  31 -  0 => column 3 (root word) (shift) (subbyte)
167
  ---------------------------------------------------------------
168
 
169
  ---------------------------------------------------------------
170
  -- Round constant table
171
  --  encrypt:        decrypt:
172
  -- round 0 : 0x0100_0000   : 0x3600_0000
173
  -- round 1 : 0x0200_0000   : 0x1B00_0000
174
  -- round 2 : 0x0400_0000   : 0x8000_0000
175
  -- round 3 : 0x0800_0000   : 0x4000_0000
176
  -- round 4 : 0x1000_0000   : 0x2000_0000
177
  -- round 5 : 0x2000_0000   : 0x1000_0000
178
  -- round 6 : 0x4000_0000   : 0x0800_0000
179
  -- round 7 : 0x8000_0000   : 0x0400_0000
180
  -- round 8 : 0x1B00_0000   : 0x0200_0000
181
  -- round 9 : 0x3600_0000   : 0x0100_0000
182
  ---------------------------------------------------------------
183
 
184
  process (clock, load)
185
  begin
186
--
187
    if (load = '1') then
188
--
189
      fifo12x8 (095 downto 000) <= key_i (127 downto 032);
190
--
191
      side_opt (3)              <= key_i (031 downto 024);
192
      side_opt (2)              <= key_i (023 downto 016);
193
      side_opt (1)              <= key_i (015 downto 008);
194
      side_opt (0)              <= key_i (007 downto 000);
195
--
196
      addr_a_1_i                <= ( enc & key_i (023 downto 016) );
197
      addr_a_2_i                <= ( enc & key_i (015 downto 008) );
198
      addr_b_1_i                <= ( enc & key_i (007 downto 000) );
199
      addr_b_2_i                <= ( enc & key_i (031 downto 024) );
200
--
201
    elsif (clock = '1' and clock'event) then
202
--
203
      fifo12x8 (95 downto 32)   <= fifo12x8 (63 downto 00);
204
      fifo12x8 (31 downto 00)   <= side_opt (3) & side_opt (2) & side_opt (1) & side_opt (0);
205
--
206
      side_opt (3)              <= result(3);
207
      side_opt (2)              <= result(2);
208
      side_opt (1)              <= result(1);
209
      side_opt (0)              <= result(0);
210
--
211
      addr_a_1_i                <= ( enc & result (2) );
212
      addr_a_2_i                <= ( enc & result (1) );
213
      addr_b_1_i                <= ( enc & result (0) );
214
      addr_b_2_i                <= ( enc & result (3) );
215
--
216
    end if;
217
--
218
  end process;
219
--
220
  process (clock, load)
221
  begin
222
--
223
    if (load = '1') then
224
--
225
      rcon10x8 (79 downto 00)   <= round_constant (79 downto 00);
226
--
227
    elsif (clock = '1' and clock'event) then
228
--
229
      if (count = "10") then
230
--
231
        rcon10x8 (79 downto 08) <= rcon10x8 (71 downto 00);
232
        rcon10x8 (07 downto 00) <= rcon10x8 (79 downto 72);
233
--
234
      end if;
235
--
236
      done                      <= not(load) and count(1) and not(count(0)) and rcon(5) and rcon(4) and rcon(2) and rcon(1);
237
--
238
    end if;
239
--
240
  end process;
241
 
242
  rcon (07 downto 00)  <= rcon10x8 (79 downto 72);
243
--
244
  rot                  <= ( not(count(1)) and not(count(0)) ) when (load = '0') else '1';
245
--
246
  temp (3)             <= (do_a_1_o xor rcon)                 when (rot = '1')  else side_opt (3);
247
  temp (2)             <= (do_a_2_o)                          when (rot = '1')  else side_opt (2);
248
  temp (1)             <= (do_b_1_o)                          when (rot = '1')  else side_opt (1);
249
  temp (0)             <= (do_b_2_o)                          when (rot = '1')  else side_opt (0);
250
--
251
  result (3)           <= temp (3) xor fifo12x8 (95 downto 88);
252
  result (2)           <= temp (2) xor fifo12x8 (87 downto 80);
253
  result (1)           <= temp (1) xor fifo12x8 (79 downto 72);
254
  result (0)           <= temp (0) xor fifo12x8 (71 downto 64);
255
--
256
  key_o (31 downto 24) <= result(3);
257
  key_o (23 downto 16) <= result(2);
258
  key_o (15 downto 08) <= result(1);
259
  key_o (07 downto 00) <= result(0);
260
 
261
end key_expansion;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.