OpenCores
URL https://opencores.org/ocsvn/mini_aes/mini_aes/trunk

Subversion Repositories mini_aes

[/] [mini_aes/] [trunk/] [source/] [xtime.vhdl] - Blame information for rev 15

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 arif_endro
-- $Id: xtime.vhdl,v 1.1.1.1 2005-12-06 02:48:34 arif_endro Exp $
2
-------------------------------------------------------------------------------
3
-- Title       : Xtime manipulations
4
-- Project     : Mini AES 128 
5
-------------------------------------------------------------------------------
6
-- File        : xtime.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2005/12/03
9
-- Last update : 
10
-- Simulators  : ModelSim SE PLUS 6.0
11
-- Synthesizers: ISE Xilinx 6.3i
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Xtime manipulation used in AES operations.
15
-------------------------------------------------------------------------------
16 15 arif_endro
-- Copyright (C) 2005 Arif Endro Nugroho
17 2 arif_endro
-------------------------------------------------------------------------------
18
-- 
19
--         THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
20
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
21
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
22
-- ASSOCIATED DISCLAIMER.
23
-- 
24
-------------------------------------------------------------------------------
25
-- 
26
--         THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
27
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
28
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
29
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
30
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
31
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
32
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
33
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
34
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
35
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
36
-- 
37
-------------------------------------------------------------------------------
38
 
39
library ieee;
40
use ieee.std_logic_1164.all;
41
 
42
package xtime_pkg is
43
 
44
  function xtime_2 ( b : std_logic_vector ) return std_logic_vector;
45
  function xtime_4 ( c : std_logic_vector ) return std_logic_vector;
46
  function xtime_8 ( d : std_logic_vector ) return std_logic_vector;
47
 
48
end xtime_pkg;
49
 
50
package body xtime_pkg is
51
 
52
  function xtime_2   ( b : std_logic_vector ) return std_logic_vector is
53
     variable xtime_2_v  : std_logic_vector (07 downto 00) := ( B"0000_0000" );
54
     begin
55
        xtime_2_v := (  b(6 downto 4)                     -- 7,6,5
56
                     & (b(3 downto 2) xor (b(7) & b(7)))  -- 4,3
57
                     &  b(1)                              -- 2
58
                     & (b(0) xor b(7))                    -- 1
59
                     &  b(7));                            -- 0
60
     return xtime_2_v;
61
  end xtime_2;
62
 
63
  function xtime_4  ( c : std_logic_vector ) return std_logic_vector is
64
     variable xtime_4_v : std_logic_vector (07 downto 00) := ( B"0000_0000" );
65
     begin
66
        xtime_4_v := (  c(5)                             -- 7
67
                     &  c(4)                             -- 6
68
                     & (c(3) xor c(7))                   -- 5
69
                     & (c(2) xor c(7) xor c(6))          -- 4
70
                     & (c(1) xor c(6))                   -- 3
71
                     & (c(0) xor c(7))                   -- 2
72
                     & (c(7) xor c(6))                   -- 1
73
                     &  c(6));                           --
74
     return xtime_4_v;
75
  end xtime_4;
76
 
77
  function xtime_8  ( d : std_logic_vector ) return std_logic_vector is
78
     variable xtime_8_v : std_logic_vector (07 downto 00) := ( B"0000_0000" );
79
     begin
80
        xtime_8_v := (  d(4)                            -- 7
81
                     & (d(3) xor d(7))                  -- 6
82
                     & (d(2) xor d(7) xor d(6))         -- 5
83
                     & (d(1) xor d(6) xor d(5))         -- 4
84
                     & (d(0) xor d(7) xor d(5))         -- 3
85
                     & (d(7) xor d(6))                  -- 2
86
                     & (d(6) xor d(5))                  -- 1
87
                     &  d(5));                          -- 0
88
     return xtime_8_v;
89
  end xtime_8;
90
 
91
end xtime_pkg;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.