OpenCores
URL https://opencores.org/ocsvn/minimips_superscalar/minimips_superscalar/trunk

Subversion Repositories minimips_superscalar

[/] [minimips_superscalar/] [tags/] [P1/] [sources/] [delay_gate.vhd] - Blame information for rev 35

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 35 mcafruni
------------------------------------------------------------------------------------
2
--                                                                                --
3
--    Copyright (c) 2018, Miguel Cafruni                                          --
4
--                                                                                --
5
--                                                                                --
6
--                                                                                --
7
--                                                                                --
8
--    This file is part of miniMIPSMod.                                           --
9
--                                                                                --
10
------------------------------------------------------------------------------------
11
 
12
--------------------------------------------------------------------------
13
--                                                                      --
14
--                                                                      --
15
--            miniMIPSMod Processor : delay gate stage                  --
16
--                                                                      --
17
--                                                                      --
18
--                                                                      --
19
-- Author : Miguel Cafruni                                              --
20
--                                                                      --
21
--                                                        August 2018   --
22
--------------------------------------------------------------------------
23
 
24
library IEEE;
25
use IEEE.std_logic_1164.all;
26
use IEEE.numeric_std.all;
27
 
28
library work;
29
use work.pack_mips.all;
30
 
31
entity delay_gate is
32
port (
33
    clock : in bus1;
34
    in1   : in bus1;
35
    in2   : in bus1;
36
    in3   : in bus1;
37
    in4   : in bus1;
38
    in5   : in bus1;
39
    in6   : in bus1;
40
    in7   : in bus1;
41
    in8   : in bus1;
42
    in9   : in bus1;
43
    in10  : in bus1;
44
    in11  : in bus1;
45
    in12  : in bus1;
46
    out1  : out bus1;
47
    out2  : out bus1;
48
    out3  : out bus1;
49
    out4  : out bus1;
50
    out5  : out bus1;
51
    out6  : out bus1;
52
    out7  : out bus1;
53
    out8  : out bus1;
54
    out9  : out bus1;
55
    out10 : out bus1;
56
    out11 : out bus1;
57
    out12 : out bus1
58
);
59
end delay_gate;
60
 
61
architecture rtl of delay_gate is
62
 
63
signal s1, s2, s3, s4, s5, s6, s7, s8, s9, s10, s11, s12 : bus1;
64
 
65
begin
66
        s1  <= in1;
67
        s2  <= in2;
68
        s3  <= in3;
69
        s4  <= in4;
70
        s5  <= in5;
71
        s6  <= in6;
72
        s7  <= in7;
73
        s8  <= in8;
74
        s9  <= in9;
75
        s10 <= in10;
76
        s11 <= in11;
77
        s12 <= in12;
78
 
79
        -- saidas deixam passar as entradas com meio ciclo de atraso
80
        process (clock)
81
        begin
82
        if rising_edge(clock) then
83
            out1  <= s1;
84
            out2  <= s2;
85
            out3  <= s3;
86
            out4  <= s4;
87
            out9  <= s9;
88
            out11 <= s11;
89
            out5  <= s5;
90
            out6  <= s6;
91
            out7  <= s7;
92
            out8  <= s8;
93
            out10 <= s10;
94
            out12 <= s12;
95
        end if;
96
 
97
        end process;
98
 
99
end rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.