OpenCores
URL https://opencores.org/ocsvn/minsoc/minsoc/trunk

Subversion Repositories minsoc

[/] [minsoc/] [branches/] [verilator/] [bench/] [verilog/] [minsoc_bench_core.v] - Blame information for rev 10

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 rfajardo
`include "minsoc_bench_defines.v"
2
`include "minsoc_defines.v"
3 10 rfajardo
`include "or1200_defines.v"
4 2 rfajardo
 
5
module minsoc_bench();
6
 
7
reg clock, reset;
8
 
9
wire dbg_tms_i;
10
wire dbg_tck_i;
11
wire dbg_tdi_i;
12
wire dbg_tdo_o;
13
wire jtag_vref;
14
wire jtag_gnd;
15
 
16
wire spi_mosi;
17
reg spi_miso;
18
wire spi_sclk;
19
wire [1:0] spi_ss;
20
 
21
wire uart_stx;
22 9 rfajardo
reg uart_srx;
23 2 rfajardo
 
24
wire eth_col;
25
wire eth_crs;
26
wire eth_trst;
27
wire eth_tx_clk;
28
wire eth_tx_en;
29
wire eth_tx_er;
30
wire [3:0] eth_txd;
31
wire eth_rx_clk;
32
wire eth_rx_dv;
33
wire eth_rx_er;
34
wire [3:0] eth_rxd;
35
wire eth_fds_mdint;
36
wire eth_mdc;
37
wire eth_mdio;
38
 
39
//
40
//      TASKS registers to communicate with interfaces
41
//
42
reg [7:0] tx_data [0:1518];               //receive buffer
43
reg [31:0] data_in [1023:0];              //send buffer
44
 
45
 
46
//
47
// Testbench mechanics
48
//
49 4 rfajardo
reg [7:0] program_mem[(1<<(`MEMORY_ADR_WIDTH+2))-1:0];
50 2 rfajardo
integer initialize, final, ptr;
51
reg [8*64:0] file_name;
52
reg load_file;
53 8 rfajardo
 
54 2 rfajardo
initial begin
55 8 rfajardo
    reset = 1'b0;
56
    clock = 1'b0;
57
 
58 10 rfajardo
//dual and two port rams from FPGA memory instances have to be initialized to
59
//0
60
    init_fpga_memory();
61
 
62 2 rfajardo
        load_file = 1'b0;
63
`ifdef INITIALIZE_MEMORY_MODEL
64
        load_file = 1'b1;
65
`endif
66
`ifdef START_UP
67
        load_file = 1'b1;
68
`endif
69 8 rfajardo
 
70 2 rfajardo
        //get firmware hex file from command line input
71
        if ( load_file ) begin
72
                if ( ! $value$plusargs("file_name=%s", file_name) || file_name == 0 ) begin
73
                        $display("ERROR: please specify an input file to start.");
74
                        $finish;
75
                end
76
                $readmemh(file_name, program_mem);
77
                // First word comprehends size of program
78
                final = { program_mem[0] , program_mem[1] , program_mem[2] , program_mem[3] };
79
        end
80
 
81
`ifdef INITIALIZE_MEMORY_MODEL
82
        // Initialize memory with firmware
83
        initialize = 0;
84
        while ( initialize < final ) begin
85
                minsoc_top_0.onchip_ram_top.block_ram_3.mem[initialize/4] = program_mem[initialize];
86
                minsoc_top_0.onchip_ram_top.block_ram_2.mem[initialize/4] = program_mem[initialize+1];
87
                minsoc_top_0.onchip_ram_top.block_ram_1.mem[initialize/4] = program_mem[initialize+2];
88
                minsoc_top_0.onchip_ram_top.block_ram_0.mem[initialize/4] = program_mem[initialize+3];
89
        initialize = initialize + 4;
90
        end
91
        $display("Memory model initialized with firmware:");
92
        $display("%s", file_name);
93
        $display("%d Bytes loaded from %d ...", initialize , final);
94
`endif
95
 
96
    // Reset controller
97
    repeat (2) @ (negedge clock);
98
    reset = 1'b1;
99
    repeat (16) @ (negedge clock);
100
    reset = 1'b0;
101
 
102
`ifdef START_UP
103
        // Pass firmware over spi to or1k_startup
104
        ptr = 0;
105
        //read dummy
106
        send_spi(program_mem[ptr]);
107
        send_spi(program_mem[ptr]);
108
        send_spi(program_mem[ptr]);
109
        send_spi(program_mem[ptr]);
110
        //~read dummy
111
        while ( ptr < final ) begin
112
                send_spi(program_mem[ptr]);
113
                ptr = ptr + 1;
114
        end
115
        $display("Memory start-up completed...");
116
        $display("Loaded firmware:");
117
        $display("%s", file_name);
118
`endif
119
        //
120
    // Testbench START
121
        //
122
 
123
 
124
end
125
 
126
 
127
//
128
// Modules instantiations
129
//
130
minsoc_top minsoc_top_0(
131
   .clk(clock),
132
   .reset(reset)
133
 
134
   //JTAG ports
135
`ifdef GENERIC_TAP
136
   , .jtag_tdi(dbg_tdi_i),
137
   .jtag_tms(dbg_tms_i),
138
   .jtag_tck(dbg_tck_i),
139
   .jtag_tdo(dbg_tdo_o),
140
   .jtag_vref(jtag_vref),
141
   .jtag_gnd(jtag_gnd)
142
`endif
143
 
144
   //SPI ports
145
`ifdef START_UP
146
   , .spi_flash_mosi(spi_mosi),
147
   .spi_flash_miso(spi_miso),
148
   .spi_flash_sclk(spi_sclk),
149
   .spi_flash_ss(spi_ss)
150
`endif
151
 
152
   //UART ports
153
`ifdef UART
154
   , .uart_stx(uart_stx),
155
   .uart_srx(uart_srx)
156
`endif // !UART
157
 
158
        // Ethernet ports
159
`ifdef ETHERNET
160
        , .eth_col(eth_col),
161
    .eth_crs(eth_crs),
162
    .eth_trste(eth_trst),
163
    .eth_tx_clk(eth_tx_clk),
164
        .eth_tx_en(eth_tx_en),
165
    .eth_tx_er(eth_tx_er),
166
    .eth_txd(eth_txd),
167
    .eth_rx_clk(eth_rx_clk),
168
        .eth_rx_dv(eth_rx_dv),
169
    .eth_rx_er(eth_rx_er),
170
    .eth_rxd(eth_rxd),
171
    .eth_fds_mdint(eth_fds_mdint),
172
        .eth_mdc(eth_mdc),
173
    .eth_mdio(eth_mdio)
174
`endif // !ETHERNET
175
);
176
 
177
`ifdef VPI_DEBUG
178
        dbg_comm_vpi dbg_if(
179
                .SYS_CLK(clock),
180
                .P_TMS(dbg_tms_i),
181
                .P_TCK(dbg_tck_i),
182
                .P_TRST(),
183
                .P_TDI(dbg_tdi_i),
184
                .P_TDO(dbg_tdo_o)
185
        );
186
`else
187
   assign dbg_tdi_i = 1;
188
   assign dbg_tck_i = 0;
189
   assign dbg_tms_i = 1;
190
`endif
191
 
192
`ifdef ETHERNET
193
eth_phy my_phy // This PHY model simulate simplified Intel LXT971A PHY
194
(
195
          // COMMON
196
          .m_rst_n_i(1'b1),
197
 
198
          // MAC TX
199
          .mtx_clk_o(eth_tx_clk),
200
          .mtxd_i(eth_txd),
201
          .mtxen_i(eth_tx_en),
202
          .mtxerr_i(eth_tx_er),
203
 
204
          // MAC RX
205
          .mrx_clk_o(eth_rx_clk),
206
          .mrxd_o(eth_rxd),
207
          .mrxdv_o(eth_rx_dv),
208
          .mrxerr_o(eth_rx_er),
209
 
210
          .mcoll_o(eth_col),
211
          .mcrs_o(eth_crs),
212
 
213
          // MIIM
214
          .mdc_i(eth_mdc),
215
          .md_io(eth_mdio),
216
 
217
          // SYSTEM
218
          .phy_log()
219
);
220
`endif // !ETHERNET
221
 
222
 
223
//
224 8 rfajardo
//      Regular clocking and output
225 2 rfajardo
//
226
always begin
227
    #((`CLK_PERIOD)/2) clock <= ~clock;
228
end
229
 
230
`ifdef VCD_OUTPUT
231
initial begin
232
        $dumpfile("../results/minsoc_wave.vcd");
233
        $dumpvars();
234
end
235
`endif
236
 
237
 
238
//
239
//      Functionalities tasks: SPI Startup and UART Monitor
240
//
241
//SPI START_UP
242
`ifdef START_UP
243
task send_spi;
244
    input [7:0] data_in;
245
    integer i;
246
    begin
247
        i = 7;
248
        for ( i = 7 ; i >= 0; i = i - 1 ) begin
249
                spi_miso = data_in[i];
250
                        @ (posedge spi_sclk);
251
            end
252
    end
253
endtask
254
`endif
255
//~SPI START_UP
256
 
257
//UART Monitor (prints uart output on the terminal)
258
`ifdef UART
259
parameter UART_TX_WAIT = (`FREQ / `UART_BAUDRATE) * `CLK_PERIOD;
260
 
261
// Something to trigger the task
262
always @(posedge clock)
263
        uart_decoder;
264
 
265
task uart_decoder;
266
        integer i;
267
        reg [7:0] tx_byte;
268
        begin
269
 
270
        // Wait for start bit
271
        while (uart_stx == 1'b1)
272
                @(uart_stx);
273
 
274
        #(UART_TX_WAIT+(UART_TX_WAIT/2));
275
 
276
    for ( i = 0; i < 8 ; i = i + 1 ) begin
277
                tx_byte[i] = uart_stx;
278
                #UART_TX_WAIT;
279
        end
280
 
281
        //Check for stop bit
282
        if (uart_stx == 1'b0) begin
283
                  //$display("* WARNING: user stop bit not received when expected at time %d__", $time);
284
          // Wait for return to idle
285
                while (uart_stx == 1'b0)
286
                        @(uart_stx);
287
          //$display("* USER UART returned to idle at time %d",$time);
288
        end
289
        // display the char
290
        $write("%c", tx_byte);
291
        end
292
endtask
293
`endif // !UART
294
//~UART Monitor
295
 
296
 
297
//
298
//      TASKS to communicate with interfaces
299
//
300
//MAC_DATA
301
//
302
`ifdef ETHERNET
303
reg [31:0] crc32_result;
304
 
305
task get_mac;
306
    integer conta;
307
    reg LSB;
308
    begin
309
        conta = 0;
310
        LSB = 1;
311
        @ ( posedge eth_tx_en);
312
        while ( eth_tx_en == 1'b1 ) begin
313
            @ (negedge eth_tx_clk) begin
314
                if ( LSB == 1'b1 )
315
                    tx_data[conta][3:0] = eth_txd;
316
                else begin
317
                    tx_data[conta][7:4] = eth_txd;
318
                    conta = conta + 1;
319
                end
320
                LSB = ~LSB;
321
            end
322
        end
323
    end
324
endtask
325
 
326
task send_mac;
327
    input [11:0] command;
328
    input [31:0] param1;
329
    input [31:0] param2;
330
    input [223:0] data;
331
 
332
    integer conta;
333
 
334
    begin
335
        //DEST MAC
336
        my_phy.rx_mem[0] = 8'h55;
337
        my_phy.rx_mem[1] = 8'h47;
338
        my_phy.rx_mem[2] = 8'h34;
339
        my_phy.rx_mem[3] = 8'h22;
340
        my_phy.rx_mem[4] = 8'h88;
341
        my_phy.rx_mem[5] = 8'h92;
342
 
343
        //SOURCE MAC
344
        my_phy.rx_mem[6] = 8'h00;
345
        my_phy.rx_mem[7] = 8'h00;
346
        my_phy.rx_mem[8] = 8'hC0;
347
        my_phy.rx_mem[9] = 8'h41;
348
        my_phy.rx_mem[10] = 8'h36;
349
        my_phy.rx_mem[11] = 8'hD3;
350
 
351
        //LEN
352
        my_phy.rx_mem[12] = 8'h00;
353
        my_phy.rx_mem[13] = 8'h04;
354
 
355
        //DATA
356
        my_phy.rx_mem[14] = 8'hFF;
357
        my_phy.rx_mem[15] = 8'hFA;
358
        my_phy.rx_mem[16] = command[11:4];
359
        my_phy.rx_mem[17] = { command[3:0] , 4'h7 };
360
 
361
        my_phy.rx_mem[18] = 8'hAA;
362
        my_phy.rx_mem[19] = 8'hAA;
363
 
364
        //parameter 1
365
        my_phy.rx_mem[20] = param1[31:24];
366
        my_phy.rx_mem[21] = param1[23:16];
367
        my_phy.rx_mem[22] = param1[15:8];
368
        my_phy.rx_mem[23] = param1[7:0];
369
 
370
        //parameter 2
371
        my_phy.rx_mem[24] = param2[31:24];
372
        my_phy.rx_mem[25] = param2[23:16];
373
        my_phy.rx_mem[26] = param2[15:8];
374
        my_phy.rx_mem[27] = param2[7:0];
375
 
376
        //data
377
        my_phy.rx_mem[28] = data[223:216];
378
        my_phy.rx_mem[29] = data[215:208];
379
        my_phy.rx_mem[30] = data[207:200];
380
        my_phy.rx_mem[31] = data[199:192];
381
        my_phy.rx_mem[32] = data[191:184];
382
        my_phy.rx_mem[33] = data[183:176];
383
        my_phy.rx_mem[34] = data[175:168];
384
        my_phy.rx_mem[35] = data[167:160];
385
        my_phy.rx_mem[36] = data[159:152];
386
        my_phy.rx_mem[37] = data[151:144];
387
        my_phy.rx_mem[38] = data[143:136];
388
        my_phy.rx_mem[39] = data[135:128];
389
        my_phy.rx_mem[40] = data[127:120];
390
        my_phy.rx_mem[41] = data[119:112];
391
        my_phy.rx_mem[42] = data[111:104];
392
        my_phy.rx_mem[43] = data[103:96];
393
        my_phy.rx_mem[44] = data[95:88];
394
        my_phy.rx_mem[45] = data[87:80];
395
        my_phy.rx_mem[46] = data[79:72];
396
        my_phy.rx_mem[47] = data[71:64];
397
        my_phy.rx_mem[48] = data[63:56];
398
        my_phy.rx_mem[49] = data[55:48];
399
        my_phy.rx_mem[50] = data[47:40];
400
        my_phy.rx_mem[51] = data[39:32];
401
        my_phy.rx_mem[52] = data[31:24];
402
        my_phy.rx_mem[53] = data[23:16];
403
        my_phy.rx_mem[54] = data[15:8];
404
        my_phy.rx_mem[55] = data[7:0];
405
 
406
        //PAD
407
        for ( conta = 56; conta < 60; conta = conta + 1 ) begin
408
            my_phy.rx_mem[conta] = 8'h00;
409
        end
410
 
411
        gencrc32;
412
 
413
        my_phy.rx_mem[60] = crc32_result[31:24];
414
        my_phy.rx_mem[61] = crc32_result[23:16];
415
        my_phy.rx_mem[62] = crc32_result[15:8];
416
        my_phy.rx_mem[63] = crc32_result[7:0];
417
 
418
        my_phy.send_rx_packet( 64'h0055_5555_5555_5555, 4'h7, 8'hD5, 32'h0000_0000, 32'h0000_0040, 1'b0 );
419
    end
420
 
421
endtask
422
 
423
//CRC32
424
parameter [31:0] CRC32_POLY = 32'h04C11DB7;
425
 
426
task gencrc32;
427
    integer     byte, bit;
428
    reg         msb;
429
    reg [7:0]    current_byte;
430
    reg [31:0]   temp;
431
 
432
    integer crc32_length;
433
 
434
    begin
435
        crc32_length = 60;
436
        crc32_result = 32'hffffffff;
437
        for (byte = 0; byte < crc32_length; byte = byte + 1) begin
438
            current_byte = my_phy.rx_mem[byte];
439
            for (bit = 0; bit < 8; bit = bit + 1) begin
440
                msb = crc32_result[31];
441
                crc32_result = crc32_result << 1;
442
                if (msb != current_byte[bit]) begin
443
                    crc32_result = crc32_result ^ CRC32_POLY;
444
                    crc32_result[0] = 1;
445
                end
446
            end
447
        end
448
 
449
        // Last step is to "mirror" every bit, swap the 4 bytes, and then complement each bit.
450
        //
451
        // Mirror:
452
        for (bit = 0; bit < 32; bit = bit + 1)
453
            temp[31-bit] = crc32_result[bit];
454
 
455
        // Swap and Complement:
456
        crc32_result = ~{temp[7:0], temp[15:8], temp[23:16], temp[31:24]};
457
    end
458
endtask
459
//~CRC32
460
`endif // !ETHERNET
461
//~MAC_DATA
462
 
463
 
464 10 rfajardo
 
465
//
466
// TASK to initialize instantiated FPGA dual and two port memory to 0
467
//
468
task init_fpga_memory;
469
    integer i;
470
    begin
471
`ifdef OR1200_RFRAM_TWOPORT
472
`ifdef OR1200_XILINX_RAMB4
473
    for ( i = 0; i < (1<<8); i = i + 1 ) begin
474
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.ramb4_s16_s16_0.mem[i] = 16'h0000;
475
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.ramb4_s16_s16_1.mem[i] = 16'h0000;
476
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.ramb4_s16_s16_0.mem[i] = 16'h0000;
477
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.ramb4_s16_s16_1.mem[i] = 16'h0000;
478
    end
479
`elsif OR1200_XILINX_RAMB16
480
    for ( i = 0; i < (1<<9); i = i + 1 ) begin
481
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.ramb16_s36_s36.mem[i] = 32'h0000_0000;
482
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.ramb16_s36_s36.mem[i] = 32'h0000_0000;
483
    end
484
`elsif OR1200_ALTERA_LPM
485
`ifndef OR1200_ALTERA_LPM_XXX
486
    $display("Definition OR1200_ALTERA_LPM in or1200_defines.v does not enable ALTERA memory for neither DUAL nor TWO port RFRAM");
487
    $display("It uses GENERIC memory instead.");
488
    $display("Add '`define OR1200_ALTERA_LPM_XXX' under '`define OR1200_ALTERA_LPM' on or1200_defines.v to use ALTERA memory.");
489
`endif
490
`ifdef OR1200_ALTERA_LPM_XXX
491
    $display("...Using ALTERA memory for TWOPORT RFRAM!");
492
    for ( i = 0; i < (1<<5); i = i + 1 ) begin
493
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.altqpram_component.mem[i] = 32'h0000_0000;
494
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.altqpram_component.mem[i] = 32'h0000_0000;
495
    end
496
`else
497
    $display("...Using GENERIC memory!");
498
    for ( i = 0; i < (1<<5); i = i + 1 ) begin
499
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.mem[i] = 32'h0000_0000;
500
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.mem[i] = 32'h0000_0000;
501
    end
502
`endif
503
`elsif OR1200_XILINX_RAM32X1D
504
    $display("Definition OR1200_XILINX_RAM32X1D in or1200_defines.v does not enable FPGA memory for TWO port RFRAM");
505
    $display("It uses GENERIC memory instead.");
506
    $display("FPGA memory can be used if you choose OR1200_RFRAM_DUALPORT");
507
    for ( i = 0; i < (1<<5); i = i + 1 ) begin
508
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.mem[i] = 32'h0000_0000;
509
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.mem[i] = 32'h0000_0000;
510
    end
511
`else
512
    for ( i = 0; i < (1<<5); i = i + 1 ) begin
513
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.mem[i] = 32'h0000_0000;
514
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.mem[i] = 32'h0000_0000;
515
    end
516
`endif
517
`elsif OR1200_RFRAM_DUALPORT
518
`ifdef OR1200_XILINX_RAMB4
519
    for ( i = 0; i < (1<<8); i = i + 1 ) begin
520
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.ramb4_s16_0.mem[i] = 16'h0000;
521
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.ramb4_s16_1.mem[i] = 16'h0000;
522
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.ramb4_s16_0.mem[i] = 16'h0000;
523
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.ramb4_s16_1.mem[i] = 16'h0000;
524
    end
525
`elsif OR1200_XILINX_RAMB16
526
    for ( i = 0; i < (1<<9); i = i + 1 ) begin
527
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.ramb16_s36_s36.mem[i] = 32'h0000_0000;
528
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.ramb16_s36_s36.mem[i] = 32'h0000_0000;
529
    end
530
`elsif OR1200_ALTERA_LPM
531
`ifndef OR1200_ALTERA_LPM_XXX
532
    $display("Definition OR1200_ALTERA_LPM in or1200_defines.v does not enable ALTERA memory for neither DUAL nor TWO port RFRAM");
533
    $display("It uses GENERIC memory instead.");
534
    $display("Add '`define OR1200_ALTERA_LPM_XXX' under '`define OR1200_ALTERA_LPM' on or1200_defines.v to use ALTERA memory.");
535
`endif
536
`ifdef OR1200_ALTERA_LPM_XXX
537
    $display("...Using ALTERA memory for DUALPORT RFRAM!");
538
    for ( i = 0; i < (1<<5); i = i + 1 ) begin
539
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.altqpram_component.mem[i] = 32'h0000_0000;
540
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.altqpram_component.mem[i] = 32'h0000_0000;
541
    end
542
`else
543
    $display("...Using GENERIC memory!");
544
    for ( i = 0; i < (1<<5); i = i + 1 ) begin
545
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.mem[i] = 32'h0000_0000;
546
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.mem[i] = 32'h0000_0000;
547
    end
548
`endif
549
`elsif OR1200_XILINX_RAM32X1D
550
`ifdef OR1200_USE_RAM16X1D_FOR_RAM32X1D
551
    for ( i = 0; i < (1<<4); i = i + 1 ) begin
552
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_0_0.mem[i] = 1'b0;
553
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_0_1.mem[i] = 1'b0;
554
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_0_2.mem[i] = 1'b0;
555
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_0_3.mem[i] = 1'b0;
556
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_0_4.mem[i] = 1'b0;
557
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_0_5.mem[i] = 1'b0;
558
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_0_6.mem[i] = 1'b0;
559
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_0_7.mem[i] = 1'b0;
560
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_1_0.mem[i] = 1'b0;
561
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_1_1.mem[i] = 1'b0;
562
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_1_2.mem[i] = 1'b0;
563
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_1_3.mem[i] = 1'b0;
564
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_1_4.mem[i] = 1'b0;
565
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_1_5.mem[i] = 1'b0;
566
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_1_6.mem[i] = 1'b0;
567
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_1_7.mem[i] = 1'b0;
568
 
569
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_0_0.mem[i] = 1'b0;
570
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_0_1.mem[i] = 1'b0;
571
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_0_2.mem[i] = 1'b0;
572
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_0_3.mem[i] = 1'b0;
573
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_0_4.mem[i] = 1'b0;
574
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_0_5.mem[i] = 1'b0;
575
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_0_6.mem[i] = 1'b0;
576
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_0_7.mem[i] = 1'b0;
577
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_1_0.mem[i] = 1'b0;
578
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_1_1.mem[i] = 1'b0;
579
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_1_2.mem[i] = 1'b0;
580
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_1_3.mem[i] = 1'b0;
581
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_1_4.mem[i] = 1'b0;
582
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_1_5.mem[i] = 1'b0;
583
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_1_6.mem[i] = 1'b0;
584
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_1_7.mem[i] = 1'b0;
585
 
586
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_0_0.mem[i] = 1'b0;
587
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_0_1.mem[i] = 1'b0;
588
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_0_2.mem[i] = 1'b0;
589
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_0_3.mem[i] = 1'b0;
590
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_0_4.mem[i] = 1'b0;
591
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_0_5.mem[i] = 1'b0;
592
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_0_6.mem[i] = 1'b0;
593
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_0_7.mem[i] = 1'b0;
594
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_1_0.mem[i] = 1'b0;
595
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_1_1.mem[i] = 1'b0;
596
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_1_2.mem[i] = 1'b0;
597
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_1_3.mem[i] = 1'b0;
598
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_1_4.mem[i] = 1'b0;
599
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_1_5.mem[i] = 1'b0;
600
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_1_6.mem[i] = 1'b0;
601
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_1_7.mem[i] = 1'b0;
602
 
603
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_0_0.mem[i] = 1'b0;
604
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_0_1.mem[i] = 1'b0;
605
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_0_2.mem[i] = 1'b0;
606
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_0_3.mem[i] = 1'b0;
607
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_0_4.mem[i] = 1'b0;
608
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_0_5.mem[i] = 1'b0;
609
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_0_6.mem[i] = 1'b0;
610
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_0_7.mem[i] = 1'b0;
611
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_1_0.mem[i] = 1'b0;
612
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_1_1.mem[i] = 1'b0;
613
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_1_2.mem[i] = 1'b0;
614
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_1_3.mem[i] = 1'b0;
615
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_1_4.mem[i] = 1'b0;
616
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_1_5.mem[i] = 1'b0;
617
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_1_6.mem[i] = 1'b0;
618
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_1_7.mem[i] = 1'b0;
619
 
620
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_0_0.mem[i] = 1'b0;
621
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_0_1.mem[i] = 1'b0;
622
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_0_2.mem[i] = 1'b0;
623
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_0_3.mem[i] = 1'b0;
624
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_0_4.mem[i] = 1'b0;
625
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_0_5.mem[i] = 1'b0;
626
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_0_6.mem[i] = 1'b0;
627
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_0_7.mem[i] = 1'b0;
628
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_1_0.mem[i] = 1'b0;
629
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_1_1.mem[i] = 1'b0;
630
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_1_2.mem[i] = 1'b0;
631
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_1_3.mem[i] = 1'b0;
632
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_1_4.mem[i] = 1'b0;
633
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_1_5.mem[i] = 1'b0;
634
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_1_6.mem[i] = 1'b0;
635
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_1_7.mem[i] = 1'b0;
636
 
637
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_0_0.mem[i] = 1'b0;
638
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_0_1.mem[i] = 1'b0;
639
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_0_2.mem[i] = 1'b0;
640
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_0_3.mem[i] = 1'b0;
641
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_0_4.mem[i] = 1'b0;
642
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_0_5.mem[i] = 1'b0;
643
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_0_6.mem[i] = 1'b0;
644
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_0_7.mem[i] = 1'b0;
645
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_1_0.mem[i] = 1'b0;
646
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_1_1.mem[i] = 1'b0;
647
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_1_2.mem[i] = 1'b0;
648
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_1_3.mem[i] = 1'b0;
649
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_1_4.mem[i] = 1'b0;
650
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_1_5.mem[i] = 1'b0;
651
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_1_6.mem[i] = 1'b0;
652
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_1_7.mem[i] = 1'b0;
653
 
654
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_0_0.mem[i] = 1'b0;
655
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_0_1.mem[i] = 1'b0;
656
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_0_2.mem[i] = 1'b0;
657
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_0_3.mem[i] = 1'b0;
658
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_0_4.mem[i] = 1'b0;
659
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_0_5.mem[i] = 1'b0;
660
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_0_6.mem[i] = 1'b0;
661
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_0_7.mem[i] = 1'b0;
662
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_1_0.mem[i] = 1'b0;
663
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_1_1.mem[i] = 1'b0;
664
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_1_2.mem[i] = 1'b0;
665
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_1_3.mem[i] = 1'b0;
666
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_1_4.mem[i] = 1'b0;
667
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_1_5.mem[i] = 1'b0;
668
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_1_6.mem[i] = 1'b0;
669
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_1_7.mem[i] = 1'b0;
670
 
671
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_0_0.mem[i] = 1'b0;
672
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_0_1.mem[i] = 1'b0;
673
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_0_2.mem[i] = 1'b0;
674
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_0_3.mem[i] = 1'b0;
675
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_0_4.mem[i] = 1'b0;
676
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_0_5.mem[i] = 1'b0;
677
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_0_6.mem[i] = 1'b0;
678
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_0_7.mem[i] = 1'b0;
679
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_1_0.mem[i] = 1'b0;
680
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_1_1.mem[i] = 1'b0;
681
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_1_2.mem[i] = 1'b0;
682
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_1_3.mem[i] = 1'b0;
683
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_1_4.mem[i] = 1'b0;
684
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_1_5.mem[i] = 1'b0;
685
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_1_6.mem[i] = 1'b0;
686
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_1_7.mem[i] = 1'b0;
687
    end
688
`else
689
    for ( i = 0; i < (1<<4); i = i + 1 ) begin
690
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_0.mem[i] = 1'b0;
691
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_1.mem[i] = 1'b0;
692
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_2.mem[i] = 1'b0;
693
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_3.mem[i] = 1'b0;
694
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_4.mem[i] = 1'b0;
695
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_5.mem[i] = 1'b0;
696
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_6.mem[i] = 1'b0;
697
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_7.mem[i] = 1'b0;
698
 
699
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_0.mem[i] = 1'b0;
700
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_1.mem[i] = 1'b0;
701
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_2.mem[i] = 1'b0;
702
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_3.mem[i] = 1'b0;
703
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_4.mem[i] = 1'b0;
704
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_5.mem[i] = 1'b0;
705
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_6.mem[i] = 1'b0;
706
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_7.mem[i] = 1'b0;
707
 
708
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_0.mem[i] = 1'b0;
709
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_1.mem[i] = 1'b0;
710
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_2.mem[i] = 1'b0;
711
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_3.mem[i] = 1'b0;
712
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_4.mem[i] = 1'b0;
713
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_5.mem[i] = 1'b0;
714
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_6.mem[i] = 1'b0;
715
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_7.mem[i] = 1'b0;
716
 
717
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_0.mem[i] = 1'b0;
718
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_1.mem[i] = 1'b0;
719
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_2.mem[i] = 1'b0;
720
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_3.mem[i] = 1'b0;
721
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_4.mem[i] = 1'b0;
722
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_5.mem[i] = 1'b0;
723
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_6.mem[i] = 1'b0;
724
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_7.mem[i] = 1'b0;
725
 
726
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_0.mem[i] = 1'b0;
727
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_1.mem[i] = 1'b0;
728
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_2.mem[i] = 1'b0;
729
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_3.mem[i] = 1'b0;
730
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_4.mem[i] = 1'b0;
731
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_5.mem[i] = 1'b0;
732
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_6.mem[i] = 1'b0;
733
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_7.mem[i] = 1'b0;
734
 
735
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_0.mem[i] = 1'b0;
736
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_1.mem[i] = 1'b0;
737
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_2.mem[i] = 1'b0;
738
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_3.mem[i] = 1'b0;
739
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_4.mem[i] = 1'b0;
740
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_5.mem[i] = 1'b0;
741
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_6.mem[i] = 1'b0;
742
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_7.mem[i] = 1'b0;
743
 
744
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_0.mem[i] = 1'b0;
745
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_1.mem[i] = 1'b0;
746
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_2.mem[i] = 1'b0;
747
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_3.mem[i] = 1'b0;
748
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_4.mem[i] = 1'b0;
749
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_5.mem[i] = 1'b0;
750
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_6.mem[i] = 1'b0;
751
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_7.mem[i] = 1'b0;
752
 
753
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_0.mem[i] = 1'b0;
754
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_1.mem[i] = 1'b0;
755
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_2.mem[i] = 1'b0;
756
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_3.mem[i] = 1'b0;
757
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_4.mem[i] = 1'b0;
758
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_5.mem[i] = 1'b0;
759
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_6.mem[i] = 1'b0;
760
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_7.mem[i] = 1'b0;
761
    end
762
`endif
763
`else
764
    for ( i = 0; i < (1<<5); i = i + 1 ) begin
765
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.mem[i] = 32'h0000_0000;
766
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.mem[i] = 32'h0000_0000;
767
    end
768
`endif
769
`endif
770
    end
771
endtask
772
 
773
 
774
 
775 2 rfajardo
endmodule
776
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.