OpenCores
URL https://opencores.org/ocsvn/minsoc/minsoc/trunk

Subversion Repositories minsoc

[/] [minsoc/] [branches/] [verilator/] [bench/] [verilog/] [minsoc_bench_core.v] - Blame information for rev 11

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 rfajardo
`include "minsoc_bench_defines.v"
2
`include "minsoc_defines.v"
3 10 rfajardo
`include "or1200_defines.v"
4 2 rfajardo
 
5
module minsoc_bench();
6
 
7
reg clock, reset;
8
 
9
wire dbg_tms_i;
10
wire dbg_tck_i;
11
wire dbg_tdi_i;
12
wire dbg_tdo_o;
13
wire jtag_vref;
14
wire jtag_gnd;
15
 
16
wire spi_mosi;
17
reg spi_miso;
18
wire spi_sclk;
19
wire [1:0] spi_ss;
20
 
21
wire uart_stx;
22 9 rfajardo
reg uart_srx;
23 2 rfajardo
 
24
wire eth_col;
25
wire eth_crs;
26
wire eth_trst;
27
wire eth_tx_clk;
28
wire eth_tx_en;
29
wire eth_tx_er;
30
wire [3:0] eth_txd;
31
wire eth_rx_clk;
32
wire eth_rx_dv;
33
wire eth_rx_er;
34
wire [3:0] eth_rxd;
35
wire eth_fds_mdint;
36
wire eth_mdc;
37
wire eth_mdio;
38
 
39
//
40
//      TASKS registers to communicate with interfaces
41
//
42
reg [7:0] tx_data [0:1518];               //receive buffer
43
reg [31:0] data_in [1023:0];              //send buffer
44
 
45
 
46
//
47
// Testbench mechanics
48
//
49 4 rfajardo
reg [7:0] program_mem[(1<<(`MEMORY_ADR_WIDTH+2))-1:0];
50 2 rfajardo
integer initialize, final, ptr;
51
reg [8*64:0] file_name;
52
reg load_file;
53 8 rfajardo
 
54 2 rfajardo
initial begin
55 8 rfajardo
    reset = 1'b0;
56
    clock = 1'b0;
57 11 rfajardo
    uart_srx = 1'b1;
58 8 rfajardo
 
59 10 rfajardo
//dual and two port rams from FPGA memory instances have to be initialized to
60
//0
61
    init_fpga_memory();
62
 
63 2 rfajardo
        load_file = 1'b0;
64
`ifdef INITIALIZE_MEMORY_MODEL
65
        load_file = 1'b1;
66
`endif
67
`ifdef START_UP
68
        load_file = 1'b1;
69
`endif
70 8 rfajardo
 
71 2 rfajardo
        //get firmware hex file from command line input
72
        if ( load_file ) begin
73
                if ( ! $value$plusargs("file_name=%s", file_name) || file_name == 0 ) begin
74
                        $display("ERROR: please specify an input file to start.");
75
                        $finish;
76
                end
77
                $readmemh(file_name, program_mem);
78
                // First word comprehends size of program
79
                final = { program_mem[0] , program_mem[1] , program_mem[2] , program_mem[3] };
80
        end
81
 
82
`ifdef INITIALIZE_MEMORY_MODEL
83
        // Initialize memory with firmware
84
        initialize = 0;
85
        while ( initialize < final ) begin
86
                minsoc_top_0.onchip_ram_top.block_ram_3.mem[initialize/4] = program_mem[initialize];
87
                minsoc_top_0.onchip_ram_top.block_ram_2.mem[initialize/4] = program_mem[initialize+1];
88
                minsoc_top_0.onchip_ram_top.block_ram_1.mem[initialize/4] = program_mem[initialize+2];
89
                minsoc_top_0.onchip_ram_top.block_ram_0.mem[initialize/4] = program_mem[initialize+3];
90
        initialize = initialize + 4;
91
        end
92
        $display("Memory model initialized with firmware:");
93
        $display("%s", file_name);
94
        $display("%d Bytes loaded from %d ...", initialize , final);
95
`endif
96
 
97
    // Reset controller
98
    repeat (2) @ (negedge clock);
99
    reset = 1'b1;
100
    repeat (16) @ (negedge clock);
101
    reset = 1'b0;
102
 
103
`ifdef START_UP
104
        // Pass firmware over spi to or1k_startup
105
        ptr = 0;
106
        //read dummy
107
        send_spi(program_mem[ptr]);
108
        send_spi(program_mem[ptr]);
109
        send_spi(program_mem[ptr]);
110
        send_spi(program_mem[ptr]);
111
        //~read dummy
112
        while ( ptr < final ) begin
113
                send_spi(program_mem[ptr]);
114
                ptr = ptr + 1;
115
        end
116
        $display("Memory start-up completed...");
117
        $display("Loaded firmware:");
118
        $display("%s", file_name);
119
`endif
120
        //
121
    // Testbench START
122
        //
123
 
124
 
125
end
126
 
127
 
128
//
129
// Modules instantiations
130
//
131
minsoc_top minsoc_top_0(
132
   .clk(clock),
133
   .reset(reset)
134
 
135
   //JTAG ports
136
`ifdef GENERIC_TAP
137
   , .jtag_tdi(dbg_tdi_i),
138
   .jtag_tms(dbg_tms_i),
139
   .jtag_tck(dbg_tck_i),
140
   .jtag_tdo(dbg_tdo_o),
141
   .jtag_vref(jtag_vref),
142
   .jtag_gnd(jtag_gnd)
143
`endif
144
 
145
   //SPI ports
146
`ifdef START_UP
147
   , .spi_flash_mosi(spi_mosi),
148
   .spi_flash_miso(spi_miso),
149
   .spi_flash_sclk(spi_sclk),
150
   .spi_flash_ss(spi_ss)
151
`endif
152
 
153
   //UART ports
154
`ifdef UART
155
   , .uart_stx(uart_stx),
156
   .uart_srx(uart_srx)
157
`endif // !UART
158
 
159
        // Ethernet ports
160
`ifdef ETHERNET
161
        , .eth_col(eth_col),
162
    .eth_crs(eth_crs),
163
    .eth_trste(eth_trst),
164
    .eth_tx_clk(eth_tx_clk),
165
        .eth_tx_en(eth_tx_en),
166
    .eth_tx_er(eth_tx_er),
167
    .eth_txd(eth_txd),
168
    .eth_rx_clk(eth_rx_clk),
169
        .eth_rx_dv(eth_rx_dv),
170
    .eth_rx_er(eth_rx_er),
171
    .eth_rxd(eth_rxd),
172
    .eth_fds_mdint(eth_fds_mdint),
173
        .eth_mdc(eth_mdc),
174
    .eth_mdio(eth_mdio)
175
`endif // !ETHERNET
176
);
177
 
178
`ifdef VPI_DEBUG
179
        dbg_comm_vpi dbg_if(
180
                .SYS_CLK(clock),
181
                .P_TMS(dbg_tms_i),
182
                .P_TCK(dbg_tck_i),
183
                .P_TRST(),
184
                .P_TDI(dbg_tdi_i),
185
                .P_TDO(dbg_tdo_o)
186
        );
187
`else
188
   assign dbg_tdi_i = 1;
189
   assign dbg_tck_i = 0;
190
   assign dbg_tms_i = 1;
191
`endif
192
 
193
`ifdef ETHERNET
194
eth_phy my_phy // This PHY model simulate simplified Intel LXT971A PHY
195
(
196
          // COMMON
197
          .m_rst_n_i(1'b1),
198
 
199
          // MAC TX
200
          .mtx_clk_o(eth_tx_clk),
201
          .mtxd_i(eth_txd),
202
          .mtxen_i(eth_tx_en),
203
          .mtxerr_i(eth_tx_er),
204
 
205
          // MAC RX
206
          .mrx_clk_o(eth_rx_clk),
207
          .mrxd_o(eth_rxd),
208
          .mrxdv_o(eth_rx_dv),
209
          .mrxerr_o(eth_rx_er),
210
 
211
          .mcoll_o(eth_col),
212
          .mcrs_o(eth_crs),
213
 
214
          // MIIM
215
          .mdc_i(eth_mdc),
216
          .md_io(eth_mdio),
217
 
218
          // SYSTEM
219
          .phy_log()
220
);
221
`endif // !ETHERNET
222
 
223
 
224
//
225 8 rfajardo
//      Regular clocking and output
226 2 rfajardo
//
227
always begin
228
    #((`CLK_PERIOD)/2) clock <= ~clock;
229
end
230
 
231
`ifdef VCD_OUTPUT
232
initial begin
233
        $dumpfile("../results/minsoc_wave.vcd");
234
        $dumpvars();
235
end
236
`endif
237
 
238
 
239
//
240
//      Functionalities tasks: SPI Startup and UART Monitor
241
//
242
//SPI START_UP
243
`ifdef START_UP
244
task send_spi;
245
    input [7:0] data_in;
246
    integer i;
247
    begin
248
        i = 7;
249
        for ( i = 7 ; i >= 0; i = i - 1 ) begin
250
                spi_miso = data_in[i];
251
                        @ (posedge spi_sclk);
252
            end
253
    end
254
endtask
255
`endif
256
//~SPI START_UP
257
 
258
//UART Monitor (prints uart output on the terminal)
259
`ifdef UART
260
parameter UART_TX_WAIT = (`FREQ / `UART_BAUDRATE) * `CLK_PERIOD;
261
 
262
// Something to trigger the task
263
always @(posedge clock)
264
        uart_decoder;
265
 
266
task uart_decoder;
267
        integer i;
268
        reg [7:0] tx_byte;
269
        begin
270
 
271
        // Wait for start bit
272
        while (uart_stx == 1'b1)
273
                @(uart_stx);
274
 
275
        #(UART_TX_WAIT+(UART_TX_WAIT/2));
276
 
277
    for ( i = 0; i < 8 ; i = i + 1 ) begin
278
                tx_byte[i] = uart_stx;
279
                #UART_TX_WAIT;
280
        end
281
 
282
        //Check for stop bit
283
        if (uart_stx == 1'b0) begin
284
                  //$display("* WARNING: user stop bit not received when expected at time %d__", $time);
285
          // Wait for return to idle
286
                while (uart_stx == 1'b0)
287
                        @(uart_stx);
288
          //$display("* USER UART returned to idle at time %d",$time);
289
        end
290
        // display the char
291
        $write("%c", tx_byte);
292
        end
293
endtask
294
`endif // !UART
295
//~UART Monitor
296
 
297
 
298
//
299
//      TASKS to communicate with interfaces
300
//
301
//MAC_DATA
302
//
303
`ifdef ETHERNET
304
reg [31:0] crc32_result;
305
 
306
task get_mac;
307
    integer conta;
308
    reg LSB;
309
    begin
310
        conta = 0;
311
        LSB = 1;
312
        @ ( posedge eth_tx_en);
313
        while ( eth_tx_en == 1'b1 ) begin
314
            @ (negedge eth_tx_clk) begin
315
                if ( LSB == 1'b1 )
316
                    tx_data[conta][3:0] = eth_txd;
317
                else begin
318
                    tx_data[conta][7:4] = eth_txd;
319
                    conta = conta + 1;
320
                end
321
                LSB = ~LSB;
322
            end
323
        end
324
    end
325
endtask
326
 
327
task send_mac;
328
    input [11:0] command;
329
    input [31:0] param1;
330
    input [31:0] param2;
331
    input [223:0] data;
332
 
333
    integer conta;
334
 
335
    begin
336
        //DEST MAC
337
        my_phy.rx_mem[0] = 8'h55;
338
        my_phy.rx_mem[1] = 8'h47;
339
        my_phy.rx_mem[2] = 8'h34;
340
        my_phy.rx_mem[3] = 8'h22;
341
        my_phy.rx_mem[4] = 8'h88;
342
        my_phy.rx_mem[5] = 8'h92;
343
 
344
        //SOURCE MAC
345
        my_phy.rx_mem[6] = 8'h00;
346
        my_phy.rx_mem[7] = 8'h00;
347
        my_phy.rx_mem[8] = 8'hC0;
348
        my_phy.rx_mem[9] = 8'h41;
349
        my_phy.rx_mem[10] = 8'h36;
350
        my_phy.rx_mem[11] = 8'hD3;
351
 
352
        //LEN
353
        my_phy.rx_mem[12] = 8'h00;
354
        my_phy.rx_mem[13] = 8'h04;
355
 
356
        //DATA
357
        my_phy.rx_mem[14] = 8'hFF;
358
        my_phy.rx_mem[15] = 8'hFA;
359
        my_phy.rx_mem[16] = command[11:4];
360
        my_phy.rx_mem[17] = { command[3:0] , 4'h7 };
361
 
362
        my_phy.rx_mem[18] = 8'hAA;
363
        my_phy.rx_mem[19] = 8'hAA;
364
 
365
        //parameter 1
366
        my_phy.rx_mem[20] = param1[31:24];
367
        my_phy.rx_mem[21] = param1[23:16];
368
        my_phy.rx_mem[22] = param1[15:8];
369
        my_phy.rx_mem[23] = param1[7:0];
370
 
371
        //parameter 2
372
        my_phy.rx_mem[24] = param2[31:24];
373
        my_phy.rx_mem[25] = param2[23:16];
374
        my_phy.rx_mem[26] = param2[15:8];
375
        my_phy.rx_mem[27] = param2[7:0];
376
 
377
        //data
378
        my_phy.rx_mem[28] = data[223:216];
379
        my_phy.rx_mem[29] = data[215:208];
380
        my_phy.rx_mem[30] = data[207:200];
381
        my_phy.rx_mem[31] = data[199:192];
382
        my_phy.rx_mem[32] = data[191:184];
383
        my_phy.rx_mem[33] = data[183:176];
384
        my_phy.rx_mem[34] = data[175:168];
385
        my_phy.rx_mem[35] = data[167:160];
386
        my_phy.rx_mem[36] = data[159:152];
387
        my_phy.rx_mem[37] = data[151:144];
388
        my_phy.rx_mem[38] = data[143:136];
389
        my_phy.rx_mem[39] = data[135:128];
390
        my_phy.rx_mem[40] = data[127:120];
391
        my_phy.rx_mem[41] = data[119:112];
392
        my_phy.rx_mem[42] = data[111:104];
393
        my_phy.rx_mem[43] = data[103:96];
394
        my_phy.rx_mem[44] = data[95:88];
395
        my_phy.rx_mem[45] = data[87:80];
396
        my_phy.rx_mem[46] = data[79:72];
397
        my_phy.rx_mem[47] = data[71:64];
398
        my_phy.rx_mem[48] = data[63:56];
399
        my_phy.rx_mem[49] = data[55:48];
400
        my_phy.rx_mem[50] = data[47:40];
401
        my_phy.rx_mem[51] = data[39:32];
402
        my_phy.rx_mem[52] = data[31:24];
403
        my_phy.rx_mem[53] = data[23:16];
404
        my_phy.rx_mem[54] = data[15:8];
405
        my_phy.rx_mem[55] = data[7:0];
406
 
407
        //PAD
408
        for ( conta = 56; conta < 60; conta = conta + 1 ) begin
409
            my_phy.rx_mem[conta] = 8'h00;
410
        end
411
 
412
        gencrc32;
413
 
414
        my_phy.rx_mem[60] = crc32_result[31:24];
415
        my_phy.rx_mem[61] = crc32_result[23:16];
416
        my_phy.rx_mem[62] = crc32_result[15:8];
417
        my_phy.rx_mem[63] = crc32_result[7:0];
418
 
419
        my_phy.send_rx_packet( 64'h0055_5555_5555_5555, 4'h7, 8'hD5, 32'h0000_0000, 32'h0000_0040, 1'b0 );
420
    end
421
 
422
endtask
423
 
424
//CRC32
425
parameter [31:0] CRC32_POLY = 32'h04C11DB7;
426
 
427
task gencrc32;
428
    integer     byte, bit;
429
    reg         msb;
430
    reg [7:0]    current_byte;
431
    reg [31:0]   temp;
432
 
433
    integer crc32_length;
434
 
435
    begin
436
        crc32_length = 60;
437
        crc32_result = 32'hffffffff;
438
        for (byte = 0; byte < crc32_length; byte = byte + 1) begin
439
            current_byte = my_phy.rx_mem[byte];
440
            for (bit = 0; bit < 8; bit = bit + 1) begin
441
                msb = crc32_result[31];
442
                crc32_result = crc32_result << 1;
443
                if (msb != current_byte[bit]) begin
444
                    crc32_result = crc32_result ^ CRC32_POLY;
445
                    crc32_result[0] = 1;
446
                end
447
            end
448
        end
449
 
450
        // Last step is to "mirror" every bit, swap the 4 bytes, and then complement each bit.
451
        //
452
        // Mirror:
453
        for (bit = 0; bit < 32; bit = bit + 1)
454
            temp[31-bit] = crc32_result[bit];
455
 
456
        // Swap and Complement:
457
        crc32_result = ~{temp[7:0], temp[15:8], temp[23:16], temp[31:24]};
458
    end
459
endtask
460
//~CRC32
461
`endif // !ETHERNET
462
//~MAC_DATA
463
 
464
 
465 10 rfajardo
 
466
//
467
// TASK to initialize instantiated FPGA dual and two port memory to 0
468
//
469
task init_fpga_memory;
470
    integer i;
471
    begin
472
`ifdef OR1200_RFRAM_TWOPORT
473
`ifdef OR1200_XILINX_RAMB4
474
    for ( i = 0; i < (1<<8); i = i + 1 ) begin
475
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.ramb4_s16_s16_0.mem[i] = 16'h0000;
476
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.ramb4_s16_s16_1.mem[i] = 16'h0000;
477
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.ramb4_s16_s16_0.mem[i] = 16'h0000;
478
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.ramb4_s16_s16_1.mem[i] = 16'h0000;
479
    end
480
`elsif OR1200_XILINX_RAMB16
481
    for ( i = 0; i < (1<<9); i = i + 1 ) begin
482
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.ramb16_s36_s36.mem[i] = 32'h0000_0000;
483
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.ramb16_s36_s36.mem[i] = 32'h0000_0000;
484
    end
485
`elsif OR1200_ALTERA_LPM
486
`ifndef OR1200_ALTERA_LPM_XXX
487
    $display("Definition OR1200_ALTERA_LPM in or1200_defines.v does not enable ALTERA memory for neither DUAL nor TWO port RFRAM");
488
    $display("It uses GENERIC memory instead.");
489
    $display("Add '`define OR1200_ALTERA_LPM_XXX' under '`define OR1200_ALTERA_LPM' on or1200_defines.v to use ALTERA memory.");
490
`endif
491
`ifdef OR1200_ALTERA_LPM_XXX
492
    $display("...Using ALTERA memory for TWOPORT RFRAM!");
493
    for ( i = 0; i < (1<<5); i = i + 1 ) begin
494
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.altqpram_component.mem[i] = 32'h0000_0000;
495
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.altqpram_component.mem[i] = 32'h0000_0000;
496
    end
497
`else
498
    $display("...Using GENERIC memory!");
499
    for ( i = 0; i < (1<<5); i = i + 1 ) begin
500
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.mem[i] = 32'h0000_0000;
501
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.mem[i] = 32'h0000_0000;
502
    end
503
`endif
504
`elsif OR1200_XILINX_RAM32X1D
505
    $display("Definition OR1200_XILINX_RAM32X1D in or1200_defines.v does not enable FPGA memory for TWO port RFRAM");
506
    $display("It uses GENERIC memory instead.");
507
    $display("FPGA memory can be used if you choose OR1200_RFRAM_DUALPORT");
508
    for ( i = 0; i < (1<<5); i = i + 1 ) begin
509
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.mem[i] = 32'h0000_0000;
510
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.mem[i] = 32'h0000_0000;
511
    end
512
`else
513
    for ( i = 0; i < (1<<5); i = i + 1 ) begin
514
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.mem[i] = 32'h0000_0000;
515
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.mem[i] = 32'h0000_0000;
516
    end
517
`endif
518
`elsif OR1200_RFRAM_DUALPORT
519
`ifdef OR1200_XILINX_RAMB4
520
    for ( i = 0; i < (1<<8); i = i + 1 ) begin
521
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.ramb4_s16_0.mem[i] = 16'h0000;
522
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.ramb4_s16_1.mem[i] = 16'h0000;
523
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.ramb4_s16_0.mem[i] = 16'h0000;
524
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.ramb4_s16_1.mem[i] = 16'h0000;
525
    end
526
`elsif OR1200_XILINX_RAMB16
527
    for ( i = 0; i < (1<<9); i = i + 1 ) begin
528
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.ramb16_s36_s36.mem[i] = 32'h0000_0000;
529
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.ramb16_s36_s36.mem[i] = 32'h0000_0000;
530
    end
531
`elsif OR1200_ALTERA_LPM
532
`ifndef OR1200_ALTERA_LPM_XXX
533
    $display("Definition OR1200_ALTERA_LPM in or1200_defines.v does not enable ALTERA memory for neither DUAL nor TWO port RFRAM");
534
    $display("It uses GENERIC memory instead.");
535
    $display("Add '`define OR1200_ALTERA_LPM_XXX' under '`define OR1200_ALTERA_LPM' on or1200_defines.v to use ALTERA memory.");
536
`endif
537
`ifdef OR1200_ALTERA_LPM_XXX
538
    $display("...Using ALTERA memory for DUALPORT RFRAM!");
539
    for ( i = 0; i < (1<<5); i = i + 1 ) begin
540
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.altqpram_component.mem[i] = 32'h0000_0000;
541
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.altqpram_component.mem[i] = 32'h0000_0000;
542
    end
543
`else
544
    $display("...Using GENERIC memory!");
545
    for ( i = 0; i < (1<<5); i = i + 1 ) begin
546
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.mem[i] = 32'h0000_0000;
547
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.mem[i] = 32'h0000_0000;
548
    end
549
`endif
550
`elsif OR1200_XILINX_RAM32X1D
551
`ifdef OR1200_USE_RAM16X1D_FOR_RAM32X1D
552
    for ( i = 0; i < (1<<4); i = i + 1 ) begin
553
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_0_0.mem[i] = 1'b0;
554
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_0_1.mem[i] = 1'b0;
555
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_0_2.mem[i] = 1'b0;
556
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_0_3.mem[i] = 1'b0;
557
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_0_4.mem[i] = 1'b0;
558
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_0_5.mem[i] = 1'b0;
559
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_0_6.mem[i] = 1'b0;
560
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_0_7.mem[i] = 1'b0;
561
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_1_0.mem[i] = 1'b0;
562
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_1_1.mem[i] = 1'b0;
563
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_1_2.mem[i] = 1'b0;
564
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_1_3.mem[i] = 1'b0;
565
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_1_4.mem[i] = 1'b0;
566
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_1_5.mem[i] = 1'b0;
567
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_1_6.mem[i] = 1'b0;
568
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_1_7.mem[i] = 1'b0;
569
 
570
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_0_0.mem[i] = 1'b0;
571
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_0_1.mem[i] = 1'b0;
572
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_0_2.mem[i] = 1'b0;
573
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_0_3.mem[i] = 1'b0;
574
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_0_4.mem[i] = 1'b0;
575
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_0_5.mem[i] = 1'b0;
576
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_0_6.mem[i] = 1'b0;
577
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_0_7.mem[i] = 1'b0;
578
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_1_0.mem[i] = 1'b0;
579
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_1_1.mem[i] = 1'b0;
580
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_1_2.mem[i] = 1'b0;
581
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_1_3.mem[i] = 1'b0;
582
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_1_4.mem[i] = 1'b0;
583
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_1_5.mem[i] = 1'b0;
584
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_1_6.mem[i] = 1'b0;
585
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_1_7.mem[i] = 1'b0;
586
 
587
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_0_0.mem[i] = 1'b0;
588
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_0_1.mem[i] = 1'b0;
589
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_0_2.mem[i] = 1'b0;
590
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_0_3.mem[i] = 1'b0;
591
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_0_4.mem[i] = 1'b0;
592
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_0_5.mem[i] = 1'b0;
593
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_0_6.mem[i] = 1'b0;
594
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_0_7.mem[i] = 1'b0;
595
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_1_0.mem[i] = 1'b0;
596
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_1_1.mem[i] = 1'b0;
597
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_1_2.mem[i] = 1'b0;
598
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_1_3.mem[i] = 1'b0;
599
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_1_4.mem[i] = 1'b0;
600
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_1_5.mem[i] = 1'b0;
601
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_1_6.mem[i] = 1'b0;
602
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_1_7.mem[i] = 1'b0;
603
 
604
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_0_0.mem[i] = 1'b0;
605
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_0_1.mem[i] = 1'b0;
606
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_0_2.mem[i] = 1'b0;
607
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_0_3.mem[i] = 1'b0;
608
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_0_4.mem[i] = 1'b0;
609
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_0_5.mem[i] = 1'b0;
610
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_0_6.mem[i] = 1'b0;
611
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_0_7.mem[i] = 1'b0;
612
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_1_0.mem[i] = 1'b0;
613
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_1_1.mem[i] = 1'b0;
614
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_1_2.mem[i] = 1'b0;
615
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_1_3.mem[i] = 1'b0;
616
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_1_4.mem[i] = 1'b0;
617
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_1_5.mem[i] = 1'b0;
618
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_1_6.mem[i] = 1'b0;
619
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_1_7.mem[i] = 1'b0;
620
 
621
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_0_0.mem[i] = 1'b0;
622
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_0_1.mem[i] = 1'b0;
623
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_0_2.mem[i] = 1'b0;
624
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_0_3.mem[i] = 1'b0;
625
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_0_4.mem[i] = 1'b0;
626
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_0_5.mem[i] = 1'b0;
627
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_0_6.mem[i] = 1'b0;
628
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_0_7.mem[i] = 1'b0;
629
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_1_0.mem[i] = 1'b0;
630
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_1_1.mem[i] = 1'b0;
631
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_1_2.mem[i] = 1'b0;
632
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_1_3.mem[i] = 1'b0;
633
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_1_4.mem[i] = 1'b0;
634
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_1_5.mem[i] = 1'b0;
635
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_1_6.mem[i] = 1'b0;
636
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_1_7.mem[i] = 1'b0;
637
 
638
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_0_0.mem[i] = 1'b0;
639
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_0_1.mem[i] = 1'b0;
640
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_0_2.mem[i] = 1'b0;
641
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_0_3.mem[i] = 1'b0;
642
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_0_4.mem[i] = 1'b0;
643
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_0_5.mem[i] = 1'b0;
644
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_0_6.mem[i] = 1'b0;
645
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_0_7.mem[i] = 1'b0;
646
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_1_0.mem[i] = 1'b0;
647
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_1_1.mem[i] = 1'b0;
648
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_1_2.mem[i] = 1'b0;
649
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_1_3.mem[i] = 1'b0;
650
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_1_4.mem[i] = 1'b0;
651
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_1_5.mem[i] = 1'b0;
652
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_1_6.mem[i] = 1'b0;
653
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_1_7.mem[i] = 1'b0;
654
 
655
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_0_0.mem[i] = 1'b0;
656
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_0_1.mem[i] = 1'b0;
657
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_0_2.mem[i] = 1'b0;
658
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_0_3.mem[i] = 1'b0;
659
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_0_4.mem[i] = 1'b0;
660
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_0_5.mem[i] = 1'b0;
661
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_0_6.mem[i] = 1'b0;
662
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_0_7.mem[i] = 1'b0;
663
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_1_0.mem[i] = 1'b0;
664
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_1_1.mem[i] = 1'b0;
665
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_1_2.mem[i] = 1'b0;
666
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_1_3.mem[i] = 1'b0;
667
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_1_4.mem[i] = 1'b0;
668
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_1_5.mem[i] = 1'b0;
669
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_1_6.mem[i] = 1'b0;
670
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_1_7.mem[i] = 1'b0;
671
 
672
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_0_0.mem[i] = 1'b0;
673
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_0_1.mem[i] = 1'b0;
674
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_0_2.mem[i] = 1'b0;
675
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_0_3.mem[i] = 1'b0;
676
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_0_4.mem[i] = 1'b0;
677
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_0_5.mem[i] = 1'b0;
678
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_0_6.mem[i] = 1'b0;
679
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_0_7.mem[i] = 1'b0;
680
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_1_0.mem[i] = 1'b0;
681
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_1_1.mem[i] = 1'b0;
682
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_1_2.mem[i] = 1'b0;
683
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_1_3.mem[i] = 1'b0;
684
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_1_4.mem[i] = 1'b0;
685
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_1_5.mem[i] = 1'b0;
686
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_1_6.mem[i] = 1'b0;
687
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_1_7.mem[i] = 1'b0;
688
    end
689
`else
690
    for ( i = 0; i < (1<<4); i = i + 1 ) begin
691
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_0.mem[i] = 1'b0;
692
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_1.mem[i] = 1'b0;
693
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_2.mem[i] = 1'b0;
694
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_3.mem[i] = 1'b0;
695
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_4.mem[i] = 1'b0;
696
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_5.mem[i] = 1'b0;
697
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_6.mem[i] = 1'b0;
698
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_7.mem[i] = 1'b0;
699
 
700
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_0.mem[i] = 1'b0;
701
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_1.mem[i] = 1'b0;
702
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_2.mem[i] = 1'b0;
703
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_3.mem[i] = 1'b0;
704
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_4.mem[i] = 1'b0;
705
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_5.mem[i] = 1'b0;
706
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_6.mem[i] = 1'b0;
707
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_7.mem[i] = 1'b0;
708
 
709
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_0.mem[i] = 1'b0;
710
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_1.mem[i] = 1'b0;
711
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_2.mem[i] = 1'b0;
712
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_3.mem[i] = 1'b0;
713
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_4.mem[i] = 1'b0;
714
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_5.mem[i] = 1'b0;
715
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_6.mem[i] = 1'b0;
716
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_7.mem[i] = 1'b0;
717
 
718
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_0.mem[i] = 1'b0;
719
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_1.mem[i] = 1'b0;
720
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_2.mem[i] = 1'b0;
721
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_3.mem[i] = 1'b0;
722
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_4.mem[i] = 1'b0;
723
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_5.mem[i] = 1'b0;
724
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_6.mem[i] = 1'b0;
725
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_7.mem[i] = 1'b0;
726
 
727
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_0.mem[i] = 1'b0;
728
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_1.mem[i] = 1'b0;
729
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_2.mem[i] = 1'b0;
730
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_3.mem[i] = 1'b0;
731
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_4.mem[i] = 1'b0;
732
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_5.mem[i] = 1'b0;
733
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_6.mem[i] = 1'b0;
734
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_0.ram32x1d_7.mem[i] = 1'b0;
735
 
736
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_0.mem[i] = 1'b0;
737
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_1.mem[i] = 1'b0;
738
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_2.mem[i] = 1'b0;
739
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_3.mem[i] = 1'b0;
740
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_4.mem[i] = 1'b0;
741
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_5.mem[i] = 1'b0;
742
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_6.mem[i] = 1'b0;
743
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_1.ram32x1d_7.mem[i] = 1'b0;
744
 
745
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_0.mem[i] = 1'b0;
746
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_1.mem[i] = 1'b0;
747
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_2.mem[i] = 1'b0;
748
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_3.mem[i] = 1'b0;
749
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_4.mem[i] = 1'b0;
750
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_5.mem[i] = 1'b0;
751
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_6.mem[i] = 1'b0;
752
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_2.ram32x1d_7.mem[i] = 1'b0;
753
 
754
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_0.mem[i] = 1'b0;
755
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_1.mem[i] = 1'b0;
756
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_2.mem[i] = 1'b0;
757
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_3.mem[i] = 1'b0;
758
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_4.mem[i] = 1'b0;
759
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_5.mem[i] = 1'b0;
760
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_6.mem[i] = 1'b0;
761
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.xcv_ram32x8d_3.ram32x1d_7.mem[i] = 1'b0;
762
    end
763
`endif
764
`else
765
    for ( i = 0; i < (1<<5); i = i + 1 ) begin
766
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_a.mem[i] = 32'h0000_0000;
767
        minsoc_top_0.or1200_top.or1200_cpu.or1200_rf.rf_b.mem[i] = 32'h0000_0000;
768
    end
769
`endif
770
`endif
771
    end
772
endtask
773
 
774
 
775
 
776 2 rfajardo
endmodule
777
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.