1 |
93 |
javieralso |
MINSOC = ..
|
2 |
|
|
MINSOC_DEFINES = ${MINSOC}/backend
|
3 |
|
|
MINSOC_RTL = ${MINSOC}/rtl/verilog
|
4 |
|
|
MINSOC_STARTUP_RTL = ${MINSOC_RTL}/minsoc_startup
|
5 |
|
|
UART_RTL = ${MINSOC_RTL}/uart16550/rtl/verilog
|
6 |
|
|
ADV_DEBUG_ROOT = ${MINSOC_RTL}/adv_debug_sys/Hardware
|
7 |
|
|
DEBUG_RTL = ${ADV_DEBUG_ROOT}/adv_dbg_if/rtl/verilog
|
8 |
|
|
OR1200_RTL = ${MINSOC_RTL}/or1200/rtl/verilog
|
9 |
|
|
ETH_RTL = ${MINSOC_RTL}/ethmac/rtl/verilog
|
10 |
|
|
BUILD_SUPPORT = $(MINSOC)/syn/buildSupport
|
11 |
|
|
PROJECT_DIR = $(MINSOC)/prj/altera
|
12 |
95 |
javieralso |
QSF_FILE = $(BUILD_SUPPORT)/minsoc_top
|
13 |
93 |
javieralso |
help:
|
14 |
|
|
@echo " all: Synthesize and implement the SoC, then generate a bit stream"
|
15 |
|
|
@echo ""
|
16 |
|
|
@echo " bitgen: Generate a programming file for the target FPGA"
|
17 |
|
|
@echo " map: Express the SoC netlist in the target hardware"
|
18 |
|
|
@echo " fit: Place the target hardware, then route the wires"
|
19 |
|
|
@echo " sta: Perfom a timming analysis"
|
20 |
|
|
@echo " eda: Generate a netlist of the hardware"
|
21 |
95 |
javieralso |
@echo " config: Load the bitstream into the device using ALTERA USB Blaster and JTAG configuration"
|
22 |
93 |
javieralso |
@echo ""
|
23 |
|
|
@echo " clean: Delete all superfluous files generated by Altera tools"
|
24 |
|
|
@echo " distclean: Delete all generated files"
|
25 |
|
|
|
26 |
|
|
all: bitgen eda sta
|
27 |
95 |
javieralso |
map: $(BUILD_SUPPORT)/minsoc_top.map.summary
|
28 |
|
|
fit: $(BUILD_SUPPORT)/minsoc_top.fit.summary
|
29 |
93 |
javieralso |
bitgen: minsoc_top.sof
|
30 |
95 |
javieralso |
eda: $(BUILD_SUPPORT)/minsoc_top.eda.summary
|
31 |
|
|
sta: $(BUILD_SUPPORT)/minsoc_top.sta.summary
|
32 |
93 |
javieralso |
|
33 |
|
|
#minsoc_top.map.summary: ${MINSOC_RTL}/*.v $(UART_RTL)/*.v $(ADV_DEBUG_ROOT)/*.v $(DEBUG_RTL)/*.v $(OR1200_RTL)/*.v $(ETH_RTL)/*.v ${MINSOC_DEFINES}/minsoc_defines.v minsoc_top.qsf
|
34 |
95 |
javieralso |
$(BUILD_SUPPORT)/minsoc_top.map.summary: ${MINSOC_DEFINES}/minsoc_defines.v $(BUILD_SUPPORT)/minsoc_top.qsf
|
35 |
93 |
javieralso |
|
36 |
95 |
javieralso |
quartus_map $(QSF_FILE) --write_settings_files=off
|
37 |
93 |
javieralso |
|
38 |
95 |
javieralso |
$(BUILD_SUPPORT)/minsoc_top.fit.summary: $(BUILD_SUPPORT)/minsoc_top.map.summary
|
39 |
|
|
quartus_fit $(QSF_FILE) --write_Settings_files=off --pack_register=minimize_area
|
40 |
93 |
javieralso |
|
41 |
95 |
javieralso |
minsoc_top.sof: $(BUILD_SUPPORT)/minsoc_top.fit.summary
|
42 |
|
|
quartus_asm $(QSF_FILE)
|
43 |
|
|
mv $(BUILD_SUPPORT)/*.sof .
|
44 |
93 |
javieralso |
|
45 |
95 |
javieralso |
$(BUILD_SUPPORT)/minsoc_top.sta.summary: $(BUILD_SUPPORT)/minsoc_top.fit.summary
|
46 |
|
|
quartus_sta $(QSF_FILE)
|
47 |
93 |
javieralso |
|
48 |
95 |
javieralso |
$(BUILD_SUPPORT)/minsoc_top.eda.summary: $(BUILD_SUPPORT)/minsoc_top.fit.summary
|
49 |
|
|
quartus_eda $(QSF_FILE) --write_settings_files=off
|
50 |
93 |
javieralso |
|
51 |
95 |
javieralso |
config: minsoc_top.sof
|
52 |
|
|
quartus_pgm -c USB-Blaster -m jtag -o "p;minsoc_top.sof"
|
53 |
|
|
|
54 |
93 |
javieralso |
distclean:
|
55 |
|
|
$(RM) *.sof
|
56 |
|
|
make clean
|
57 |
|
|
|
58 |
|
|
clean:
|
59 |
95 |
javieralso |
$(RM) $(BUILD_SUPPORT)/*.rpt $(BUILD_SUPPORT)/*.summary $(BUILD_SUPPORT)/*.jdi $(BUILD_SUPPORT)/*.smsg $(BUILD_SUPPORT)/*.pin $(BUILD_SUPPORT)/*.qpf
|
60 |
|
|
$(RM) -r $(BUILD_SUPPORT)/db $(BUILD_SUPPORT)/incremental_db
|