OpenCores
URL https://opencores.org/ocsvn/mips32/mips32/trunk

Subversion Repositories mips32

[/] [mips32/] [trunk/] [Classic-MIPS/] [TestBenchs/] [function/] [code2.smd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jjf
main:
2
#call the first function
3
addi $a0, $zero, 89
4
addi $a1, $zero, -13
5
addi $a2, $zero, 0
6
jal ADD
7
lw $t0, 2048($zero)
8
nop
9
nop
10
nop
11
 
12
 
13
ADD:
14
add $t0, $a0, $a1
15
sw $a0, 0($a2)
16
sw $a1, 4($a2)
17
sw $t0, 8($a2)
18
sw $ra, 12($a2)
19
jr $ra

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.