OpenCores
URL https://opencores.org/ocsvn/mips32/mips32/trunk

Subversion Repositories mips32

[/] [mips32/] [trunk/] [Classic-MIPS/] [TestBenchs/] [jump/] [code.smd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jjf
main:
2
ori $t1, $zero, 0
3
ori $t2, $zero, 0
4
ori $t3, $zero, 40
5
first:
6
addi $t2, $t2, 4
7
add $t1, $t1, $t2
8
bne $t2, $t3, save
9
ori $t2, $zero, 0
10
j       done
11
save:
12
sw $t1, 0($t2)
13
j       first
14
done:
15
ori $t2, $zero, 0

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.