OpenCores
URL https://opencores.org/ocsvn/mips32/mips32/trunk

Subversion Repositories mips32

[/] [mips32/] [trunk/] [Classic-MIPS/] [TestBenchs/] [pipeline_lw_sw/] [simple2.smd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jjf
main:
2
lw $t0, 0($zero)
3
bne $t0, $zero, NEXT
4
addi $s0, $zero, -3
5
j DONE
6
 
7
NEXT:
8
addi $s0, $zero, -1
9
 
10
DONE:
11
sw $s0, 4($zero)
12
lw $t0, 2048($zero)
13
nop
14
nop
15
nop

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.