OpenCores
URL https://opencores.org/ocsvn/mips32r1/mips32r1/trunk

Subversion Repositories mips32r1

[/] [mips32r1/] [trunk/] [Hardware/] [XUPV5-LX110T_SoC/] [HOWTO] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 ayersg
MIPS32-R1 SoC HOWTO
2
-------------------
3
 
4
This document is a step-by-step procedure for building the MIPS32 hardware
5
and software and running it on the XUPV5-LX110T FPGA development board. With
6 5 ayersg
minimal changes, other hardware platforms may be used as well (see FAQ).
7 4 ayersg
 
8
Procedure
9
---------
10
 
11
    1. Build the software toolchain. Instructions for doing this are located
12
       in the "Software/toolchain" directory.
13
 
14
    2. Open the project file "MIPS32-Pipelined-Hw.xise" located in the
15
       "Hardware/XUPV5-LX110T_SoC/MIPS32-Pipelined-Hw" directory. This is
16
       a Xilinx ISE 14.1 project file.
17 12 ayersg
 
18
    3. Build the Block RAM core by using the Block Memory Generator in
19
       the Core Generator. See details below.
20 4 ayersg
 
21 12 ayersg
    4. Build the hardware project and generate the programming .bit file.
22 4 ayersg
       Send the programming file to the board through Impact (you may need
23
       to create a new Impact project file for your system, but no options
24
       are needed other than the configuration .bit file targeted for the
25
       Virtex-5 device). A default program built into the BRAM will print
26
       a hello message to the LCD screen.
27
 
28 6 ayersg
       Alternatively, a pre-built .bit file is located in the
29 12 ayersg
       "Hardware/XUPV5-LX110T_SoC" directory. It is timed conservatively
30
       at 33 MHz (66 MHz bus).
31 4 ayersg
 
32 12 ayersg
    5. Compile any of the software demos located in "Software/demos" using
33 4 ayersg
       the Makefile included with the demo. One of the output files from
34 6 ayersg
       the compilation will have a .xum extension. This is a binary file that
35 4 ayersg
       contains the code and data for the program. Use the XUM Bootloader
36
       software (Windows) to send the .xum file over a serial port to the
37
       FPGA. When the program is sent, the CPU will reset and run it.
38
 
39 8 ayersg
Rebuilding the Block RAM
40
------------------------
41 12 ayersg
    The following settings will allow you to build the Block RAM module
42
    and add a default program to it assuming Xilinx Block Memory Generator
43 8 ayersg
    version 7.1): True Dual Port RAM, Common Clock, Byte Write Enable of 8
44
    bits, Write/Read width of 32 bits, Write depth of 151552 (for full
45
    592 KB), Always Enabled, same options for port B, Register Port A Output
46
    of Memory Primitives AND Memory Core (for 2R version, this can be
47
    customized), same settings for Port B, fill remaining locations with
48
    0x00000000, optionally load a .coe file with initial memory contents,
49
    use RSTA and RSTB. The file 'Boot.coe' provides the simple hello message
50
    program.
51 4 ayersg
 
52
FAQ
53
---
54
 
55
    Q: What if I don't have the XUPV5-LX110T board?
56
    A: If you have the same Virtex 5 FPGA but a different board, all you need
57
       to do is update the pin locations in the User Constraints File (.ucf)
58
       and either make sure your clock input is 100 MHz or adjust the PLL
59
       in the clocking module of the design accordingly. Note that some
60
       hardware such as the LCD screen or piezo speaker may not be present
61
       on your board, in which case you should remove them from the design.
62
 
63
    Q: What if I don't have a Virtex 5 FPGA?
64
    A: Any FPGA can implement this design if it has enough logic resources.
65
       There are only two Xilinx-specific modules in the MIPS32 SoC design;
66
       the clocking module and BRAM module. Replace these with whatever suits
67
       your hardware. Note however that the MIPS32 memory interface uses
68
       byte-width write enables to memory (4 bits per 32-bit word), so if you
69
       use Block Memory or equivalents they must either support this or
70
       you must fake it somehow. You must also update the UCF.
71
 
72
    Q: What if I don't have or use the Xilinx development tools?
73
    A: If you only care about the MIPS32 processor and not the full SoC, start
74
       with the "Hardware/MIPS32_Standalone" directory which contains only
75
       Verilog files. The top-most module is "Processor.v". For the full SoC,
76
       copy the "Hardware/XUPV5-LX110T_SoC/MIPS32-Pipelined-Hw/src" directory
77
       to whatever development environment you use. This directory contains
78
       all of the Verilog files with "Top.v" as the head. The "Clocks" and
79
       "BRAM" directories will need to be customized for your environment,
80
       as well as the pin constraints.
81
 
82
    Q: Is there a non-Windows version of the bootloader?
83
    A: No, but the boot protocol is simple and can be implemented for any OS.
84
       See "Hardware/XUPV5-LX110T_SoC/MIPS32-Pipelined-Hw/src/UART/
85
       uart_bootloader_v2.v" for a description of the protocol. If you
86
       implement another version of the bootloader, please contribute it back
87
       to the project.
88
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.