OpenCores
URL https://opencores.org/ocsvn/mips32r1/mips32r1/trunk

Subversion Repositories mips32r1

[/] [mips32r1/] [trunk/] [Hardware/] [XUPV5-LX110T_SoC/] [MIPS32-Pipelined-Hw/] [src/] [Clocks/] [PLL_100MHz_to_66MHz.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ayersg
////////////////////////////////////////////////////////////////////////////////
2
// Copyright (c) 1995-2012 Xilinx, Inc.  All rights reserved.
3
////////////////////////////////////////////////////////////////////////////////
4
//   ____  ____ 
5
//  /   /\/   / 
6
// /___/  \  /    Vendor: Xilinx 
7
// \   \   \/     Version : 14.1
8
//  \   \         Application : xaw2verilog
9
//  /   /         Filename : clk3.v
10
// /___/   /\     Timestamp : 06/06/2012 16:09:06
11
// \   \  /  \ 
12
//  \___\/\___\ 
13
//
14
//Command: xaw2verilog -intstyle C:/root/Work/Gauss/XUM/del/ipcore_dir/clk3.xaw -st clk3.v
15
//Design Name: clk3
16
//Device: xc5vlx110t-ff1136-2
17
//
18
// Module clk3
19
// Generated by Xilinx Architecture Wizard
20
// Written for synthesis tool: XST
21
// For block PLL_ADV_INST, Estimated PLL Jitter for CLKOUT0 = 0.162 ns
22
`timescale 1ns / 1ps
23
 
24
module PLL_100MHz_to_66MHz(CLKIN1_IN,
25
            RST_IN,
26
            CLKOUT0_OUT,
27
            LOCKED_OUT);
28
 
29
    input CLKIN1_IN;
30
    input RST_IN;
31
   output CLKOUT0_OUT;
32
   output LOCKED_OUT;
33
 
34
   wire CLKFBOUT_CLKFBIN;
35
   wire CLKIN1_IBUFG;
36
   wire CLKOUT0_BUF;
37
   wire GND_BIT;
38
   wire [4:0] GND_BUS_5;
39
   wire [15:0] GND_BUS_16;
40
   wire VCC_BIT;
41
 
42
   assign GND_BIT = 0;
43
   assign GND_BUS_5 = 5'b00000;
44
   assign GND_BUS_16 = 16'b0000000000000000;
45
   assign VCC_BIT = 1;
46
   IBUFG  CLKIN1_IBUFG_INST (.I(CLKIN1_IN),
47
                            .O(CLKIN1_IBUFG));
48
   BUFG  CLKOUT0_BUFG_INST (.I(CLKOUT0_BUF),
49
                           .O(CLKOUT0_OUT));
50
   PLL_ADV #( .BANDWIDTH("OPTIMIZED"), .CLKIN1_PERIOD(10.000),
51
         .CLKIN2_PERIOD(10.000), .CLKOUT0_DIVIDE(6), .CLKOUT0_PHASE(0.000),
52
         .CLKOUT0_DUTY_CYCLE(0.500), .COMPENSATION("SYSTEM_SYNCHRONOUS"),
53
         .DIVCLK_DIVIDE(1), .CLKFBOUT_MULT(4), .CLKFBOUT_PHASE(0.0),
54
         .REF_JITTER(0.005000) ) PLL_ADV_INST (.CLKFBIN(CLKFBOUT_CLKFBIN),
55
                         .CLKINSEL(VCC_BIT),
56
                         .CLKIN1(CLKIN1_IBUFG),
57
                         .CLKIN2(GND_BIT),
58
                         .DADDR(GND_BUS_5[4:0]),
59
                         .DCLK(GND_BIT),
60
                         .DEN(GND_BIT),
61
                         .DI(GND_BUS_16[15:0]),
62
                         .DWE(GND_BIT),
63
                         .REL(GND_BIT),
64
                         .RST(RST_IN),
65
                         .CLKFBDCM(),
66
                         .CLKFBOUT(CLKFBOUT_CLKFBIN),
67
                         .CLKOUTDCM0(),
68
                         .CLKOUTDCM1(),
69
                         .CLKOUTDCM2(),
70
                         .CLKOUTDCM3(),
71
                         .CLKOUTDCM4(),
72
                         .CLKOUTDCM5(),
73
                         .CLKOUT0(CLKOUT0_BUF),
74
                         .CLKOUT1(),
75
                         .CLKOUT2(),
76
                         .CLKOUT3(),
77
                         .CLKOUT4(),
78
                         .CLKOUT5(),
79
                         .DO(),
80
                         .DRDY(),
81
                         .LOCKED(LOCKED_OUT));
82
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.