OpenCores
URL https://opencores.org/ocsvn/mips32r1/mips32r1/trunk

Subversion Repositories mips32r1

[/] [mips32r1/] [trunk/] [Software/] [demos/] [XD3_I2C/] [src/] [drivers/] [del.c] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ayersg
#include <stdio.h>
2
 
3
int foo(int a, int b)
4
{
5
        return (a+b);
6
}
7
 
8
int foo(int a)
9
{
10
        return a*2;
11
}
12
 
13
int main()
14
{
15
        return foo(2);
16
}
17
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.