OpenCores
URL https://opencores.org/ocsvn/mips789/mips789/trunk

Subversion Repositories mips789

[/] [mips789/] [branches/] [avendor/] [quartus2/] [mips_top.flow.rpt] - Blame information for rev 51

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 15 mcupro
Flow report for mips_top
2
Mon Oct 13 12:02:29 2008
3
Version 4.2 Build 157 12/07/2004 SJ Full Version
4
 
5
 
6
---------------------
7
; Table of Contents ;
8
---------------------
9
  1. Legal Notice
10
  2. Flow Summary
11
  3. Flow Settings
12
  4. Flow Elapsed Time
13
  5. Flow Log
14
 
15
 
16
 
17
----------------
18
; Legal Notice ;
19
----------------
20
Copyright (C) 1991-2004 Altera Corporation
21
Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
22
support information,  device programming or simulation file,  and any other
23
associated  documentation or information  provided by  Altera  or a partner
24
under  Altera's   Megafunction   Partnership   Program  may  be  used  only
25
to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
26
other  use  of such  megafunction  design,  netlist,  support  information,
27
device programming or simulation file,  or any other  related documentation
28
or information  is prohibited  for  any  other purpose,  including, but not
29
limited to  modification,  reverse engineering,  de-compiling, or use  with
30
any other  silicon devices,  unless such use is  explicitly  licensed under
31
a separate agreement with  Altera  or a megafunction partner.  Title to the
32
intellectual property,  including patents,  copyrights,  trademarks,  trade
33
secrets,  or maskworks,  embodied in any such megafunction design, netlist,
34
support  information,  device programming or simulation file,  or any other
35
related documentation or information provided by  Altera  or a megafunction
36
partner, remains with Altera, the megafunction partner, or their respective
37
licensors. No other licenses, including any licenses needed under any third
38
party's intellectual property, are provided herein.
39
 
40
 
41
 
42
+--------------------------------------------------------------------+
43
; Flow Summary                                                       ;
44
+-------------------------+------------------------------------------+
45
; Flow Status             ; Successful - Mon Oct 13 12:02:29 2008    ;
46
; Quartus II Version      ; 4.2 Build 157 12/07/2004 SJ Full Version ;
47
; Revision Name           ; mips_top                                 ;
48
; Top-level Entity Name   ; mips_top                                 ;
49
; Family                  ; Cyclone                                  ;
50
; Device                  ; EP1C6Q240C6                              ;
51
; Timing Models           ; Final                                    ;
52
; Met timing requirements ; Yes                                      ;
53
; Total logic elements    ; 3,468 / 5,980 ( 57 % )                   ;
54
; Total pins              ; 33 / 185 ( 17 % )                        ;
55
; Total virtual pins      ; 0                                        ;
56
; Total memory bits       ; 71,680 / 92,160 ( 77 % )                 ;
57
; Total PLLs              ; 1 / 2 ( 50 % )                           ;
58
+-------------------------+------------------------------------------+
59
 
60
 
61
+-----------------------------------------+
62
; Flow Settings                           ;
63
+-------------------+---------------------+
64
; Option            ; Setting             ;
65
+-------------------+---------------------+
66
; Start date & time ; 10/13/2008 11:58:04 ;
67
; Main task         ; Compilation         ;
68
; Revision Name     ; mips_top            ;
69
+-------------------+---------------------+
70
 
71
 
72
+-------------------------------------+
73
; Flow Elapsed Time                   ;
74
+----------------------+--------------+
75
; Module Name          ; Elapsed Time ;
76
+----------------------+--------------+
77
; Analysis & Synthesis ; 00:01:13     ;
78
; Fitter               ; 00:02:40     ;
79
; Assembler            ; 00:00:05     ;
80
; Timing Analyzer      ; 00:00:19     ;
81
; Total                ; 00:04:17     ;
82
+----------------------+--------------+
83
 
84
 
85
------------
86
; Flow Log ;
87
------------
88
quartus_map --import_settings_files=on --export_settings_files=off mips_top -c mips_top
89
quartus_fit --import_settings_files=off --export_settings_files=off mips_top -c mips_top
90
quartus_asm --import_settings_files=off --export_settings_files=off mips_top -c mips_top
91
quartus_tan --import_settings_files=off --export_settings_files=off mips_top -c mips_top --timing_analysis_only
92
 
93
 
94
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.