OpenCores
URL https://opencores.org/ocsvn/mips789/mips789/trunk

Subversion Repositories mips789

[/] [mips789/] [branches/] [avendor/] [rtl/] [verilog/] [mips_sys.v] - Blame information for rev 51

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 35 mcupro
/******************************************************************
2
 *                                                                *
3
 *    Author: Liwei                                               *
4
 *                                                                *
5
 *    This file is part of the "mips789" project.                 *
6
 *    Downloaded from:                                            *
7
 *    http://www.opencores.org/pdownloads.cgi/list/mips789        *
8
 *                                                                *
9
 *    If you encountered any problem, please contact me via       *
10
 *    Email:mcupro@opencores.org  or mcupro@163.com               *
11
 *                                                                *
12
 ******************************************************************/
13 10 mcupro
 
14
 
15 35 mcupro
`include "mips789_defs.v"
16
 
17
 
18 10 mcupro
module mips_sys (
19 35 mcupro
 
20 10 mcupro
        zz_addr_o,
21
        zz_din,
22
        zz_dout,
23
        zz_ins_i,
24
        zz_pc_o,
25
        zz_wr_en_o               ,
26
 
27
        clk,
28
        rst,
29
 
30
        ser_rxd,
31
        ser_txd,
32
 
33
        seg7led1,
34
        seg7led2 ,
35
 
36
        lcd_data,
37
        lcd_rs,
38
        lcd_rw,
39
        lcd_en,
40
 
41
        led1,
42
        led2,
43
 
44
        key1,
45
        key2
46
 
47
    ) ;
48
    input key1;
49
    input key2;
50
 
51
    input clk;
52
    wire clk;
53
 
54
    input rst;
55
    wire rst;
56
 
57
 
58
    output [6:0] seg7led1;
59
    wire [6:0] seg7led1;
60
    output [6:0] seg7led2;
61
    wire [6:0] seg7led2;
62
 
63
    output [7:0]lcd_data;
64
    output lcd_rs;
65
    output      lcd_rw ;
66
    output      lcd_en  ;
67
    output led1;
68
    output led2;
69
 
70 35 mcupro
 
71 10 mcupro
    input [31:0] zz_din;
72
    wire [31:0] zz_din;
73
    input [31:0] zz_ins_i;
74
    wire [31:0] zz_ins_i;
75
    output [31:0] zz_addr_o;
76
    wire [31:0] zz_addr_o;
77
    output [31:0] zz_dout;
78
    wire [31:0] zz_dout;
79
    output [31:0] zz_pc_o;
80
    wire [31:0] zz_pc_o;
81
    output [3:0] zz_wr_en_o;
82
    wire [3:0] zz_wr_en_o;
83
 
84
    input ser_rxd;
85
    output ser_txd;
86
 
87
    wire [31:0] cop_addr;
88
    wire [3:0] cop_mem_ctl;
89
    wire [31:0] data2cop;
90
    wire [31:0]cop_data;
91 35 mcupro
    wire clk_sys=clk;
92 10 mcupro
    wire [31:0]irq_addr;
93
    wire w_irq;
94
 
95 35 mcupro
    mips_core i_mips_core
96 10 mcupro
              (
97
                  .clk(clk_sys),
98
                  .cop_addr_o(cop_addr),
99
                  .cop_data_o(data2cop),
100
                  .cop_dout(cop_data),
101
                  .cop_mem_ctl_o(cop_mem_ctl),
102
                  .irq_addr(irq_addr),
103
                  .irq_i(w_irq),
104
                  .rst(rst),
105
 
106 35 mcupro
 
107 10 mcupro
                  .zz_addr_o(zz_addr_o),
108
                  .zz_din(zz_din),
109
                  .zz_dout(zz_dout),
110
                  .zz_ins_i(zz_ins_i),
111
                  .zz_pc_o(zz_pc_o),
112
                  .zz_wr_en_o(zz_wr_en_o)
113 35 mcupro
 
114 10 mcupro
              );
115
 
116
    mips_dvc imips_dvc(
117
                 .din(data2cop),
118
                 .clk(clk_sys),
119
                 .rst(rst),
120
                 .addr(cop_addr) ,
121
                 .mem_ctl(cop_mem_ctl),
122
                 .dout(cop_data),
123
 
124
                 .lcd_data(lcd_data),
125
                 .lcd_rs(lcd_rs),
126
                 .lcd_rw(lcd_rw),
127
                 .lcd_en(lcd_en),
128
 
129
                 .ser_rxd(ser_rxd),
130
                 .ser_txd(ser_txd),
131
 
132
                 .seg7led1(seg7led1),
133
                 .seg7led2(seg7led2),
134
 
135
                 .led1(led1),
136
                 .led2(led2),
137
 
138
                 .key1(key1),
139
                 .key2(key2)   ,
140
 
141
                 .irq_addr_o(irq_addr),  //not registed
142
                 .irq_req_o(w_irq)
143
             );
144
 
145
endmodule
146
 
147 35 mcupro
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.