OpenCores
URL https://opencores.org/ocsvn/mips789/mips789/trunk

Subversion Repositories mips789

[/] [mips789/] [branches/] [avendor/] [synplify_prj/] [mips_top/] [verif/] [mips_top_bb.v] - Blame information for rev 51

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 10 mcupro
module altpll_Z1 (inclk,fbin,pllena,clkswitch,areset,pfdena,clkena,extclkena,scanclk,scanaclr,scanread,scanwrite,scandata,clk,extclk,clkbad,enable0,enable1,activeclock,clkloss,locked,scandataout,scandone,sclkout0,sclkout1);
2
input [1:0]inclk;
3
input fbin;
4
input pllena;
5
input clkswitch;
6
input areset;
7
input pfdena;
8
input [5:0]clkena;
9
input [3:0]extclkena;
10
input scanclk;
11
input scanaclr;
12
input scanread;
13
input scanwrite;
14
input scandata;
15
output [5:0]clk;
16
output [3:0]extclk;
17
output [1:0]clkbad;
18
output enable0;
19
output enable1;
20
output activeclock;
21
output clkloss;
22
output locked;
23
output scandataout;
24
output scandone;
25
output sclkout0;
26
output sclkout1;
27
endmodule
28
 
29
module altsyncram_Z2 (wren_a,wren_b,rden_b,data_a,data_b,address_a,address_b,clock0,clock1,clocken0,clocken1,aclr0,aclr1,byteena_a,byteena_b,addressstall_a,addressstall_b,q_a,q_b);
30
input wren_a;
31
input wren_b;
32
input rden_b;
33
input [7:0]data_a;
34
input [7:0]data_b;
35
input [10:0]address_a;
36
input [10:0]address_b;
37
input clock0;
38
input clock1;
39
input clocken0;
40
input clocken1;
41
input aclr0;
42
input aclr1;
43
input [0:0]byteena_a;
44
input [0:0]byteena_b;
45
input addressstall_a;
46
input addressstall_b;
47
output [7:0]q_a;
48
output [7:0]q_b;
49
endmodule
50
 
51
module altsyncram_Z3 (wren_a,wren_b,rden_b,data_a,data_b,address_a,address_b,clock0,clock1,clocken0,clocken1,aclr0,aclr1,byteena_a,byteena_b,addressstall_a,addressstall_b,q_a,q_b);
52
input wren_a;
53
input wren_b;
54
input rden_b;
55
input [7:0]data_a;
56
input [7:0]data_b;
57
input [10:0]address_a;
58
input [10:0]address_b;
59
input clock0;
60
input clock1;
61
input clocken0;
62
input clocken1;
63
input aclr0;
64
input aclr1;
65
input [0:0]byteena_a;
66
input [0:0]byteena_b;
67
input addressstall_a;
68
input addressstall_b;
69
output [7:0]q_a;
70
output [7:0]q_b;
71
endmodule
72
 
73
module altsyncram_Z4 (wren_a,wren_b,rden_b,data_a,data_b,address_a,address_b,clock0,clock1,clocken0,clocken1,aclr0,aclr1,byteena_a,byteena_b,addressstall_a,addressstall_b,q_a,q_b);
74
input wren_a;
75
input wren_b;
76
input rden_b;
77
input [7:0]data_a;
78
input [7:0]data_b;
79
input [10:0]address_a;
80
input [10:0]address_b;
81
input clock0;
82
input clock1;
83
input clocken0;
84
input clocken1;
85
input aclr0;
86
input aclr1;
87
input [0:0]byteena_a;
88
input [0:0]byteena_b;
89
input addressstall_a;
90
input addressstall_b;
91
output [7:0]q_a;
92
output [7:0]q_b;
93
endmodule
94
 
95
module altsyncram_Z5 (wren_a,wren_b,rden_b,data_a,data_b,address_a,address_b,clock0,clock1,clocken0,clocken1,aclr0,aclr1,byteena_a,byteena_b,addressstall_a,addressstall_b,q_a,q_b);
96
input wren_a;
97
input wren_b;
98
input rden_b;
99
input [7:0]data_a;
100
input [7:0]data_b;
101
input [10:0]address_a;
102
input [10:0]address_b;
103
input clock0;
104
input clock1;
105
input clocken0;
106
input clocken1;
107
input aclr0;
108
input aclr1;
109
input [0:0]byteena_a;
110
input [0:0]byteena_b;
111
input addressstall_a;
112
input addressstall_b;
113
output [7:0]q_a;
114
output [7:0]q_b;
115
endmodule
116
 
117
module synplicity_altsyncram4_r_w_reg_array (wren_a,wren_b,data_a,address_a,address_b,clock0,clock1,clocken0,clocken1,q_b);
118
input wren_a;
119
input wren_b;
120
input [31:0]data_a;
121
input [4:0]address_a;
122
input [4:0]address_b;
123
input clock0;
124
input clock1;
125
input clocken0;
126
input clocken1;
127
output [31:0]q_b;
128
endmodule
129
 
130
module scfifo_Z6 (data,clock,wrreq,rdreq,aclr,sclr,q,usedw,full,empty,almost_full,almost_empty);
131
input [7:0]data;
132
input clock;
133
input wrreq;
134
input rdreq;
135
input aclr;
136
input sclr;
137
output [7:0]q;
138
output [8:0]usedw;
139
output full;
140
output empty;
141
output almost_full;
142
output almost_empty;
143
endmodule
144
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.