OpenCores
URL https://opencores.org/ocsvn/mips789/mips789/trunk

Subversion Repositories mips789

[/] [mips789/] [branches/] [mcupro/] [dbe/] [forward.BDE] - Blame information for rev 59

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mcupro
SCHM0103
2
 
3
HEADER
4
{
5
 FREEID 1671
6
 VARIABLES
7
 {
8
  #BLOCKTABLE_FILE="#table.bde"
9
  #BLOCKTABLE_INCLUDED="1"
10
  #LANGUAGE="VERILOG"
11
  #MODULE="forward2"
12
  AUTHOR="YlmF"
13
  COMPANY="WwW.YlmF.CoM"
14
  CREATIONDATE="2008-8-10"
15
  TITLE="No Title"
16
 }
17
 SYMBOL "#default" "forward_node" "forward_node"
18
 {
19
  HEADER
20
  {
21
   VARIABLES
22
   {
23
    #DESCRIPTION=""
24
    #LANGUAGE="VERILOG"
25
    #MODIFIED="1218305310"
26
   }
27
  }
28
  PAGE ""
29
  {
30
   PAGEHEADER
31
   {
32
    RECT (0,0,320,240)
33
    FREEID 15
34
   }
35
 
36
   BODY
37
   {
38
    RECT  1, -1, 0
39
    {
40
     VARIABLES
41
     {
42
      #OUTLINE_FILLING="1"
43
     }
44
     AREA (20,0,300,240)
45
    }
46
    TEXT  3, 0, 0
47
    {
48
     TEXT "$#NAME"
49
     RECT (25,30,93,54)
50
     ALIGN 4
51
     MARGINS (1,1)
52
     PARENT 2
53
    }
54
    TEXT  5, 0, 0
55
    {
56
     TEXT "$#NAME"
57
     RECT (172,30,295,54)
58
     ALIGN 6
59
     MARGINS (1,1)
60
     PARENT 4
61
    }
62
    TEXT  7, 0, 0
63
    {
64
     TEXT "$#NAME"
65
     RECT (25,70,181,94)
66
     ALIGN 4
67
     MARGINS (1,1)
68
     PARENT 6
69
    }
70
    TEXT  9, 0, 0
71
    {
72
     TEXT "$#NAME"
73
     RECT (25,110,93,134)
74
     ALIGN 4
75
     MARGINS (1,1)
76
     PARENT 8
77
    }
78
    TEXT  11, 0, 0
79
    {
80
     TEXT "$#NAME"
81
     RECT (25,150,181,174)
82
     ALIGN 4
83
     MARGINS (1,1)
84
     PARENT 10
85
    }
86
    TEXT  13, 0, 0
87
    {
88
     TEXT "$#NAME"
89
     RECT (25,190,104,214)
90
     ALIGN 4
91
     MARGINS (1,1)
92
     PARENT 12
93
    }
94
    PIN  2, 0, 0
95
    {
96
     COORD (0,40)
97
     VARIABLES
98
     {
99
      #DIRECTION="IN"
100
      #LENGTH="20"
101
      #MDA_RECORD_TOKEN="OTHER"
102
      #NAME="alu_we"
103
      #NUMBER="0"
104
      #VERILOG_TYPE="wire"
105
     }
106
     LINE  2, 0, 0
107
     {
108
      POINTS ( (0,0), (20,0) )
109
     }
110
    }
111
    PIN  4, 0, 0
112
    {
113
     COORD (320,40)
114
     VARIABLES
115
     {
116
      #DIRECTION="OUT"
117
      #DOWNTO="1"
118
      #LENGTH="20"
119
      #MDA_RECORD_TOKEN="OTHER"
120
      #NAME="mux_fw(2:0)"
121
      #NUMBER="0"
122
      #VERILOG_TYPE="wire"
123
     }
124
     LINE  2, 0, 0
125
     {
126
      POINTS ( (-20,0), (0,0) )
127
     }
128
    }
129
    PIN  6, 0, 0
130
    {
131
     COORD (0,80)
132
     VARIABLES
133
     {
134
      #DIRECTION="IN"
135
      #DOWNTO="1"
136
      #LENGTH="20"
137
      #MDA_RECORD_TOKEN="OTHER"
138
      #NAME="alu_wr_rn(4:0)"
139
      #NUMBER="0"
140
      #VERILOG_TYPE="wire"
141
     }
142
     LINE  2, 0, 0
143
     {
144
      POINTS ( (0,0), (20,0) )
145
     }
146
    }
147
    PIN  8, 0, 0
148
    {
149
     COORD (0,120)
150
     VARIABLES
151
     {
152
      #DIRECTION="IN"
153
      #LENGTH="20"
154
      #MDA_RECORD_TOKEN="OTHER"
155
      #NAME="mem_we"
156
      #NUMBER="0"
157
      #VERILOG_TYPE="wire"
158
     }
159
     LINE  2, 0, 0
160
     {
161
      POINTS ( (0,0), (20,0) )
162
     }
163
    }
164
    PIN  10, 0, 0
165
    {
166
     COORD (0,160)
167
     VARIABLES
168
     {
169
      #DIRECTION="IN"
170
      #DOWNTO="1"
171
      #LENGTH="20"
172
      #MDA_RECORD_TOKEN="OTHER"
173
      #NAME="mem_wr_rn(4:0)"
174
      #NUMBER="0"
175
      #VERILOG_TYPE="wire"
176
     }
177
     LINE  2, 0, 0
178
     {
179
      POINTS ( (0,0), (20,0) )
180
     }
181
    }
182
    PIN  12, 0, 0
183
    {
184
     COORD (0,200)
185
     VARIABLES
186
     {
187
      #DIRECTION="IN"
188
      #DOWNTO="1"
189
      #LENGTH="20"
190
      #MDA_RECORD_TOKEN="OTHER"
191
      #NAME="rn(4:0)"
192
      #NUMBER="0"
193
      #VERILOG_TYPE="wire"
194
     }
195
     LINE  2, 0, 0
196
     {
197
      POINTS ( (0,0), (20,0) )
198
     }
199
    }
200
   }
201
  }
202
 }
203
 SYMBOL "#default" "fw_latch5" "fw_latch5"
204
 {
205
  HEADER
206
  {
207
   VARIABLES
208
   {
209
    #DESCRIPTION=""
210
    #LANGUAGE="VERILOG"
211
    #MODIFIED="1218305297"
212
   }
213
  }
214
  PAGE ""
215
  {
216
   PAGEHEADER
217
   {
218
    RECT (0,0,160,120)
219
    FREEID 8
220
   }
221
 
222
   BODY
223
   {
224
    RECT  1, -1, 0
225
    {
226
     VARIABLES
227
     {
228
      #OUTLINE_FILLING="1"
229
     }
230
     AREA (20,0,140,120)
231
    }
232
    TEXT  3, 0, 0
233
    {
234
     TEXT "$#NAME"
235
     RECT (25,30,60,54)
236
     ALIGN 4
237
     MARGINS (1,1)
238
     PARENT 2
239
    }
240
    TEXT  5, 0, 0
241
    {
242
     TEXT "$#NAME"
243
     RECT (67,30,135,54)
244
     ALIGN 6
245
     MARGINS (1,1)
246
     PARENT 4
247
    }
248
    TEXT  7, 0, 0
249
    {
250
     TEXT "$#NAME"
251
     RECT (25,70,93,94)
252
     ALIGN 4
253
     MARGINS (1,1)
254
     PARENT 6
255
    }
256
    PIN  2, 0, 0
257
    {
258
     COORD (0,40)
259
     VARIABLES
260
     {
261
      #DIRECTION="IN"
262
      #LENGTH="20"
263
      #MDA_RECORD_TOKEN="OTHER"
264
      #NAME="clk"
265
      #NUMBER="0"
266
      #VERILOG_TYPE="wire"
267
     }
268
     LINE  2, 0, 0
269
     {
270
      POINTS ( (0,0), (20,0) )
271
     }
272
    }
273
    PIN  4, 0, 0
274
    {
275
     COORD (160,40)
276
     VARIABLES
277
     {
278
      #DIRECTION="OUT"
279
      #DOWNTO="1"
280
      #LENGTH="20"
281
      #MDA_RECORD_TOKEN="OTHER"
282
      #NAME="q(4:0)"
283
      #NUMBER="0"
284
      #VERILOG_TYPE="reg"
285
     }
286
     LINE  2, 0, 0
287
     {
288
      POINTS ( (-20,0), (0,0) )
289
     }
290
    }
291
    PIN  6, 0, 0
292
    {
293
     COORD (0,80)
294
     VARIABLES
295
     {
296
      #DIRECTION="IN"
297
      #DOWNTO="1"
298
      #LENGTH="20"
299
      #MDA_RECORD_TOKEN="OTHER"
300
      #NAME="d(4:0)"
301
      #NUMBER="0"
302
      #VERILOG_TYPE="wire"
303
     }
304
     LINE  2, 0, 0
305
     {
306
      POINTS ( (0,0), (20,0) )
307
     }
308
    }
309
   }
310
  }
311
 }
312
}
313
 
314
PAGE ""
315
{
316
 PAGEHEADER
317
 {
318
  PAGESIZE (3307,2338)
319
  MARGINS (200,200,200,200)
320
  RECT (0,0,100,200)
321
 }
322
 
323
 BODY
324
 {
325
  INSTANCE  56, 0, 0
326
  {
327
   VARIABLES
328
   {
329
    #COMPONENT="Input"
330
    #LIBRARY="#terminals"
331
    #REFERENCE="clk"
332
    #SYMBOL="Input"
333
   }
334
   COORD (1180,1120)
335
   VERTEXES ( (2,1535) )
336
  }
337
  TEXT  57, 0, 0
338
  {
339
   TEXT "$#REFERENCE"
340
   RECT (1076,1103,1129,1138)
341
   ALIGN 6
342
   MARGINS (1,1)
343
   PARENT 56
344
  }
345
  INSTANCE  74, 0, 0
346
  {
347
   VARIABLES
348
   {
349
    #COMPONENT="forward_node"
350
    #LIBRARY="#default"
351
    #REFERENCE="fw_alu_rs"
352
    #SYMBOL="forward_node"
353
   }
354
   COORD (1640,400)
355
   VERTEXES ( (2,1491), (6,1500), (8,1506), (10,1510), (12,1486), (4,1518) )
356
  }
357
  TEXT  76, 0, 0
358
  {
359
   TEXT "$#REFERENCE"
360
   RECT (1640,364,1795,399)
361
   ALIGN 8
362
   MARGINS (1,1)
363
   PARENT 74
364
  }
365
  TEXT  77, 0, 0
366
  {
367
   TEXT "$#COMPONENT"
368
   RECT (1640,640,1846,675)
369
   MARGINS (1,1)
370
   PARENT 74
371
  }
372
  INSTANCE  78, 0, 0
373
  {
374
   VARIABLES
375
   {
376
    #COMPONENT="fw_latch5"
377
    #LIBRARY="#default"
378
    #REFERENCE="fw_reg_rns"
379
    #SYMBOL="fw_latch5"
380
   }
381
   COORD (1360,560)
382
   VERTEXES ( (2,1489), (6,1513), (4,1487) )
383
  }
384
  TEXT  80, 0, 0
385
  {
386
   TEXT "$#REFERENCE"
387
   RECT (1360,524,1532,559)
388
   ALIGN 8
389
   MARGINS (1,1)
390
   PARENT 78
391
  }
392
  TEXT  81, 0, 0
393
  {
394
   TEXT "$#COMPONENT"
395
   RECT (1360,680,1515,715)
396
   MARGINS (1,1)
397
   PARENT 78
398
  }
399
  NET BUS  82, 0, 0
400
  INSTANCE  94, 0, 0
401
  {
402
   VARIABLES
403
   {
404
    #COMPONENT="forward_node"
405
    #LIBRARY="#default"
406
    #REFERENCE="fw_cmp_rs"
407
    #SYMBOL="forward_node"
408
   }
409
   COORD (1640,700)
410
   VERTEXES ( (2,1493), (6,1499), (8,1505), (10,1512), (12,1532), (4,1534) )
411
  }
412
  TEXT  96, 0, 0
413
  {
414
   TEXT "$#REFERENCE"
415
   RECT (1640,664,1795,699)
416
   ALIGN 8
417
   MARGINS (1,1)
418
   PARENT 94
419
  }
420
  TEXT  97, 0, 0
421
  {
422
   TEXT "$#COMPONENT"
423
   RECT (1640,940,1846,975)
424
   MARGINS (1,1)
425
   PARENT 94
426
  }
427
  INSTANCE  98, 0, 0
428
  {
429
   VARIABLES
430
   {
431
    #COMPONENT="fw_latch5"
432
    #LIBRARY="#default"
433
    #REFERENCE="fw_reg_rnt"
434
    #SYMBOL="fw_latch5"
435
   }
436
   COORD (1360,1180)
437
   VERTEXES ( (2,1490), (6,1515), (4,1537) )
438
  }
439
  TEXT  100, 0, 0
440
  {
441
   TEXT "$#REFERENCE"
442
   RECT (1360,1144,1532,1179)
443
   ALIGN 8
444
   MARGINS (1,1)
445
   PARENT 98
446
  }
447
  TEXT  101, 0, 0
448
  {
449
   TEXT "$#COMPONENT"
450
   RECT (1360,1300,1515,1335)
451
   MARGINS (1,1)
452
   PARENT 98
453
  }
454
  INSTANCE  119, 0, 0
455
  {
456
   VARIABLES
457
   {
458
    #COMPONENT="forward_node"
459
    #LIBRARY="#default"
460
    #REFERENCE="fw_alu_rt"
461
    #SYMBOL="forward_node"
462
   }
463
   COORD (1640,1020)
464
   VERTEXES ( (2,1496), (6,1501), (8,1507), (10,1526), (12,1536), (4,1540) )
465
  }
466
  TEXT  120, 0, 0
467
  {
468
   TEXT "$#REFERENCE"
469
   RECT (1640,984,1795,1019)
470
   ALIGN 8
471
   MARGINS (1,1)
472
   PARENT 119
473
  }
474
  TEXT  121, 0, 0
475
  {
476
   TEXT "$#COMPONENT"
477
   RECT (1640,1260,1846,1295)
478
   MARGINS (1,1)
479
   PARENT 119
480
  }
481
  INSTANCE  122, 0, 0
482
  {
483
   VARIABLES
484
   {
485
    #COMPONENT="forward_node"
486
    #LIBRARY="#default"
487
    #REFERENCE="fw_cmp_rt"
488
    #SYMBOL="forward_node"
489
   }
490
   COORD (1640,1340)
491
   VERTEXES ( (2,1497), (6,1503), (8,1509), (10,1531), (12,1517), (4,1520) )
492
  }
493
  TEXT  123, 0, 0
494
  {
495
   TEXT "$#REFERENCE"
496
   RECT (1640,1304,1795,1339)
497
   ALIGN 8
498
   MARGINS (1,1)
499
   PARENT 122
500
  }
501
  TEXT  124, 0, 0
502
  {
503
   TEXT "$#COMPONENT"
504
   RECT (1640,1580,1846,1615)
505
   MARGINS (1,1)
506
   PARENT 122
507
  }
508
  INSTANCE  303, 0, 0
509
  {
510
   VARIABLES
511
   {
512
    #COMPONENT="BusInput"
513
    #LIBRARY="#terminals"
514
    #REFERENCE="fw_alu_rn(4:0)"
515
    #SYMBOL="BusInput"
516
    #VERILOG_TYPE="wire"
517
   }
518
   COORD (1180,780)
519
   VERTEXES ( (2,1528) )
520
  }
521
  TEXT  304, 0, 0
522
  {
523
   TEXT "$#REFERENCE"
524
   RECT (889,763,1129,798)
525
   ALIGN 6
526
   MARGINS (1,1)
527
   PARENT 303
528
  }
529
  INSTANCE  308, 0, 0
530
  {
531
   VARIABLES
532
   {
533
    #COMPONENT="BusInput"
534
    #LIBRARY="#terminals"
535
    #REFERENCE="fw_mem_rn(4:0)"
536
    #SYMBOL="BusInput"
537
   }
538
   COORD (1180,980)
539
   VERTEXES ( (2,1529) )
540
  }
541
  TEXT  309, 0, 0
542
  {
543
   TEXT "$#REFERENCE"
544
   RECT (889,963,1129,998)
545
   ALIGN 6
546
   MARGINS (1,1)
547
   PARENT 308
548
  }
549
  INSTANCE  313, 0, 0
550
  {
551
   VARIABLES
552
   {
553
    #COMPONENT="Input"
554
    #LIBRARY="#terminals"
555
    #REFERENCE="alu_we"
556
    #SYMBOL="Input"
557
   }
558
   COORD (1180,740)
559
   VERTEXES ( (2,1523) )
560
  }
561
  TEXT  314, 0, 0
562
  {
563
   TEXT "$#REFERENCE"
564
   RECT (1025,723,1129,758)
565
   ALIGN 6
566
   MARGINS (1,1)
567
   PARENT 313
568
  }
569
  INSTANCE  318, 0, 0
570
  {
571
   VARIABLES
572
   {
573
    #COMPONENT="Input"
574
    #LIBRARY="#terminals"
575
    #REFERENCE="mem_We"
576
    #SYMBOL="Input"
577
   }
578
   COORD (1180,820)
579
   VERTEXES ( (2,1527) )
580
  }
581
  TEXT  319, 0, 0
582
  {
583
   TEXT "$#REFERENCE"
584
   RECT (1025,803,1129,838)
585
   ALIGN 6
586
   MARGINS (1,1)
587
   PARENT 318
588
  }
589
  INSTANCE  354, 0, 0
590
  {
591
   VARIABLES
592
   {
593
    #COMPONENT="BusInput"
594
    #LIBRARY="#terminals"
595
    #REFERENCE="rnt_i(4:0)"
596
    #SYMBOL="BusInput"
597
    #VERILOG_TYPE="wire"
598
   }
599
   COORD (1180,1260)
600
   VERTEXES ( (2,1524) )
601
  }
602
  TEXT  355, 0, 0
603
  {
604
   TEXT "$#REFERENCE"
605
   RECT (957,1243,1129,1278)
606
   ALIGN 6
607
   MARGINS (1,1)
608
   PARENT 354
609
  }
610
  INSTANCE  359, 0, 0
611
  {
612
   VARIABLES
613
   {
614
    #COMPONENT="BusInput"
615
    #LIBRARY="#terminals"
616
    #REFERENCE="rns_i(4:0)"
617
    #SYMBOL="BusInput"
618
    #VERILOG_TYPE="wire"
619
   }
620
   COORD (1180,640)
621
   VERTEXES ( (2,1522) )
622
  }
623
  TEXT  360, 0, 0
624
  {
625
   TEXT "$#REFERENCE"
626
   RECT (957,623,1129,658)
627
   ALIGN 6
628
   MARGINS (1,1)
629
   PARENT 359
630
  }
631
  NET WIRE  410, 0, 0
632
  NET BUS  447, 0, 0
633
  NET WIRE  472, 0, 0
634
  NET BUS  550, 0, 0
635
  NET BUS  559, 0, 0
636
  INSTANCE  597, 0, 0
637
  {
638
   VARIABLES
639
   {
640
    #COMPONENT="BusOutput"
641
    #LIBRARY="#terminals"
642
    #REFERENCE="alu_rt_fw(2:0)"
643
    #SYMBOL="BusOutput"
644
    #VERILOG_TYPE="wire"
645
   }
646
   COORD (2060,1060)
647
   VERTEXES ( (2,1538) )
648
  }
649
  TEXT  598, 0, 0
650
  {
651
   TEXT "$#REFERENCE"
652
   RECT (2112,1043,2352,1078)
653
   ALIGN 4
654
   MARGINS (1,1)
655
   PARENT 597
656
  }
657
  INSTANCE  606, 0, 0
658
  {
659
   VARIABLES
660
   {
661
    #COMPONENT="BusOutput"
662
    #LIBRARY="#terminals"
663
    #REFERENCE="cmp_rs_fw(2:0)"
664
    #SYMBOL="BusOutput"
665
    #VERILOG_TYPE="wire"
666
   }
667
   COORD (2060,740)
668
   VERTEXES ( (2,1533) )
669
  }
670
  TEXT  607, 0, 0
671
  {
672
   TEXT "$#REFERENCE"
673
   RECT (2112,723,2352,758)
674
   ALIGN 4
675
   MARGINS (1,1)
676
   PARENT 606
677
  }
678
  INSTANCE  615, 0, 0
679
  {
680
   VARIABLES
681
   {
682
    #COMPONENT="BusOutput"
683
    #LIBRARY="#terminals"
684
    #REFERENCE="cmp_rt_fw(2:0)"
685
    #SYMBOL="BusOutput"
686
    #VERILOG_TYPE="wire"
687
   }
688
   COORD (2040,1380)
689
   VERTEXES ( (2,1521) )
690
  }
691
  TEXT  616, 0, 0
692
  {
693
   TEXT "$#REFERENCE"
694
   RECT (2092,1363,2332,1398)
695
   ALIGN 4
696
   MARGINS (1,1)
697
   PARENT 615
698
  }
699
  NET BUS  620, 0, 0
700
  INSTANCE  624, 0, 0
701
  {
702
   VARIABLES
703
   {
704
    #COMPONENT="BusOutput"
705
    #LIBRARY="#terminals"
706
    #REFERENCE="dmem_fw(2:0)"
707
    #SYMBOL="BusOutput"
708
    #VERILOG_TYPE="wire"
709
   }
710
   COORD (2060,1200)
711
   VERTEXES ( (2,1541) )
712
  }
713
  TEXT  625, 0, 0
714
  {
715
   TEXT "$#REFERENCE"
716
   RECT (2112,1183,2318,1218)
717
   ALIGN 4
718
   MARGINS (1,1)
719
   PARENT 624
720
  }
721
  INSTANCE  633, 0, 0
722
  {
723
   VARIABLES
724
   {
725
    #COMPONENT="BusOutput"
726
    #LIBRARY="#terminals"
727
    #REFERENCE="alu_rs_fw(2:0)"
728
    #SYMBOL="BusOutput"
729
    #VERILOG_TYPE="wire"
730
   }
731
   COORD (2040,440)
732
   VERTEXES ( (2,1519) )
733
  }
734
  TEXT  634, 0, 0
735
  {
736
   TEXT "$#REFERENCE"
737
   RECT (2092,423,2332,458)
738
   ALIGN 4
739
   MARGINS (1,1)
740
   PARENT 633
741
  }
742
  NET BUS  635, 0, 0
743
  NET BUS  937, 0, 0
744
  NET BUS  1100, 0, 0
745
  NET BUS  1163, 0, 0
746
  NET WIRE  1175, 0, 0
747
  NET BUS  1345, 0, 0
748
  VTX  1486, 0, 0
749
  {
750
   COORD (1640,600)
751
  }
752
  VTX  1487, 0, 0
753
  {
754
   COORD (1520,600)
755
  }
756
  VTX  1488, 0, 0
757
  {
758
   COORD (1340,1120)
759
  }
760
  VTX  1489, 0, 0
761
  {
762
   COORD (1360,600)
763
  }
764
  VTX  1490, 0, 0
765
  {
766
   COORD (1360,1220)
767
  }
768
  VTX  1491, 0, 0
769
  {
770
   COORD (1640,440)
771
  }
772
  VTX  1492, 0, 0
773
  {
774
   COORD (1300,740)
775
  }
776
  VTX  1493, 0, 0
777
  {
778
   COORD (1640,740)
779
  }
780
  VTX  1494, 0, 0
781
  {
782
   COORD (1460,740)
783
  }
784
  VTX  1495, 0, 0
785
  {
786
   COORD (1580,1060)
787
  }
788
  VTX  1496, 0, 0
789
  {
790
   COORD (1640,1060)
791
  }
792
  VTX  1497, 0, 0
793
  {
794
   COORD (1640,1380)
795
  }
796
  VTX  1498, 0, 0
797
  {
798
   COORD (1560,780)
799
  }
800
  VTX  1499, 0, 0
801
  {
802
   COORD (1640,780)
803
  }
804
  VTX  1500, 0, 0
805
  {
806
   COORD (1640,480)
807
  }
808
  VTX  1501, 0, 0
809
  {
810
   COORD (1640,1100)
811
  }
812
  VTX  1502, 0, 0
813
  {
814
   COORD (1560,1100)
815
  }
816
  VTX  1503, 0, 0
817
  {
818
   COORD (1640,1420)
819
  }
820
  VTX  1504, 0, 0
821
  {
822
   COORD (1600,820)
823
  }
824
  VTX  1505, 0, 0
825
  {
826
   COORD (1640,820)
827
  }
828
  VTX  1506, 0, 0
829
  {
830
   COORD (1640,520)
831
  }
832
  VTX  1507, 0, 0
833
  {
834
   COORD (1640,1140)
835
  }
836
  VTX  1508, 0, 0
837
  {
838
   COORD (1600,1140)
839
  }
840
  VTX  1509, 0, 0
841
  {
842
   COORD (1640,1460)
843
  }
844
  VTX  1510, 0, 0
845
  {
846
   COORD (1640,560)
847
  }
848
  VTX  1511, 0, 0
849
  {
850
   COORD (1540,860)
851
  }
852
  VTX  1512, 0, 0
853
  {
854
   COORD (1640,860)
855
  }
856
  VTX  1513, 0, 0
857
  {
858
   COORD (1360,640)
859
  }
860
  VTX  1514, 0, 0
861
  {
862
   COORD (1320,640)
863
  }
864
  VTX  1515, 0, 0
865
  {
866
   COORD (1360,1260)
867
  }
868
  VTX  1516, 0, 0
869
  {
870
   COORD (1340,1260)
871
  }
872
  VTX  1517, 0, 0
873
  {
874
   COORD (1640,1540)
875
  }
876
  VTX  1518, 0, 0
877
  {
878
   COORD (1960,440)
879
  }
880
  VTX  1519, 0, 0
881
  {
882
   COORD (2040,440)
883
  }
884
  VTX  1520, 0, 0
885
  {
886
   COORD (1960,1380)
887
  }
888
  VTX  1521, 0, 0
889
  {
890
   COORD (2040,1380)
891
  }
892
  VTX  1522, 0, 0
893
  {
894
   COORD (1180,640)
895
  }
896
  VTX  1523, 0, 0
897
  {
898
   COORD (1180,740)
899
  }
900
  VTX  1524, 0, 0
901
  {
902
   COORD (1180,1260)
903
  }
904
  VTX  1525, 0, 0
905
  {
906
   COORD (1540,980)
907
  }
908
  VTX  1526, 0, 0
909
  {
910
   COORD (1640,1180)
911
  }
912
  VTX  1527, 0, 0
913
  {
914
   COORD (1180,820)
915
  }
916
  VTX  1528, 0, 0
917
  {
918
   COORD (1180,780)
919
  }
920
  VTX  1529, 0, 0
921
  {
922
   COORD (1180,980)
923
  }
924
  VTX  1530, 0, 0
925
  {
926
   COORD (1300,980)
927
  }
928
  VTX  1531, 0, 0
929
  {
930
   COORD (1640,1500)
931
  }
932
  VTX  1532, 0, 0
933
  {
934
   COORD (1640,900)
935
  }
936
  VTX  1533, 0, 0
937
  {
938
   COORD (2060,740)
939
  }
940
  VTX  1534, 0, 0
941
  {
942
   COORD (1960,740)
943
  }
944
  VTX  1535, 0, 0
945
  {
946
   COORD (1180,1120)
947
  }
948
  VTX  1536, 0, 0
949
  {
950
   COORD (1640,1220)
951
  }
952
  VTX  1537, 0, 0
953
  {
954
   COORD (1520,1220)
955
  }
956
  VTX  1538, 0, 0
957
  {
958
   COORD (2060,1060)
959
  }
960
  VTX  1539, 0, 0
961
  {
962
   COORD (2020,1060)
963
  }
964
  VTX  1540, 0, 0
965
  {
966
   COORD (1960,1060)
967
  }
968
  VTX  1541, 0, 0
969
  {
970
   COORD (2060,1200)
971
  }
972
  BUS  1542, 0, 0
973
  {
974
   NET 82
975
   VTX 1486, 1487
976
  }
977
  VTX  1543, 0, 0
978
  {
979
   COORD (1340,600)
980
  }
981
  WIRE  1544, 0, 0
982
  {
983
   NET 1175
984
   VTX 1488, 1543
985
  }
986
  WIRE  1545, 0, 0
987
  {
988
   NET 1175
989
   VTX 1543, 1489
990
  }
991
  VTX  1546, 0, 0
992
  {
993
   COORD (1340,1220)
994
  }
995
  WIRE  1547, 0, 0
996
  {
997
   NET 1175
998
   VTX 1488, 1546
999
  }
1000
  WIRE  1548, 0, 0
1001
  {
1002
   NET 1175
1003
   VTX 1546, 1490
1004
  }
1005
  VTX  1549, 0, 0
1006
  {
1007
   COORD (1300,440)
1008
  }
1009
  WIRE  1550, 0, 0
1010
  {
1011
   NET 410
1012
   VTX 1491, 1549
1013
  }
1014
  WIRE  1551, 0, 0
1015
  {
1016
   NET 410
1017
   VTX 1549, 1492
1018
  }
1019
  WIRE  1552, 0, 0
1020
  {
1021
   NET 410
1022
   VTX 1493, 1494
1023
  }
1024
  WIRE  1553, 0, 0
1025
  {
1026
   NET 410
1027
   VTX 1494, 1492
1028
  }
1029
  VTX  1554, 0, 0
1030
  {
1031
   COORD (1460,1060)
1032
  }
1033
  WIRE  1555, 0, 0
1034
  {
1035
   NET 410
1036
   VTX 1494, 1554
1037
  }
1038
  WIRE  1556, 0, 0
1039
  {
1040
   NET 410
1041
   VTX 1554, 1495
1042
  }
1043
  WIRE  1557, 0, 0
1044
  {
1045
   NET 410
1046
   VTX 1495, 1496
1047
  }
1048
  VTX  1558, 0, 0
1049
  {
1050
   COORD (1580,1380)
1051
  }
1052
  WIRE  1559, 0, 0
1053
  {
1054
   NET 410
1055
   VTX 1495, 1558
1056
  }
1057
  WIRE  1560, 0, 0
1058
  {
1059
   NET 410
1060
   VTX 1558, 1497
1061
  }
1062
  BUS  1561, 0, 0
1063
  {
1064
   NET 447
1065
   VTX 1498, 1499
1066
  }
1067
  VTX  1562, 0, 0
1068
  {
1069
   COORD (1560,480)
1070
  }
1071
  BUS  1563, 0, 0
1072
  {
1073
   NET 447
1074
   VTX 1500, 1562
1075
  }
1076
  BUS  1564, 0, 0
1077
  {
1078
   NET 447
1079
   VTX 1562, 1498
1080
  }
1081
  BUS  1565, 0, 0
1082
  {
1083
   NET 447
1084
   VTX 1501, 1502
1085
  }
1086
  BUS  1566, 0, 0
1087
  {
1088
   NET 447
1089
   VTX 1502, 1498
1090
  }
1091
  VTX  1567, 0, 0
1092
  {
1093
   COORD (1560,1420)
1094
  }
1095
  BUS  1568, 0, 0
1096
  {
1097
   NET 447
1098
   VTX 1503, 1567
1099
  }
1100
  BUS  1569, 0, 0
1101
  {
1102
   NET 447
1103
   VTX 1567, 1502
1104
  }
1105
  WIRE  1570, 0, 0
1106
  {
1107
   NET 472
1108
   VTX 1504, 1505
1109
  }
1110
  VTX  1571, 0, 0
1111
  {
1112
   COORD (1600,520)
1113
  }
1114
  WIRE  1572, 0, 0
1115
  {
1116
   NET 472
1117
   VTX 1506, 1571
1118
  }
1119
  WIRE  1573, 0, 0
1120
  {
1121
   NET 472
1122
   VTX 1571, 1504
1123
  }
1124
  WIRE  1574, 0, 0
1125
  {
1126
   NET 472
1127
   VTX 1507, 1508
1128
  }
1129
  WIRE  1575, 0, 0
1130
  {
1131
   NET 472
1132
   VTX 1508, 1504
1133
  }
1134
  VTX  1576, 0, 0
1135
  {
1136
   COORD (1600,1460)
1137
  }
1138
  WIRE  1577, 0, 0
1139
  {
1140
   NET 472
1141
   VTX 1508, 1576
1142
  }
1143
  WIRE  1578, 0, 0
1144
  {
1145
   NET 472
1146
   VTX 1576, 1509
1147
  }
1148
  VTX  1579, 0, 0
1149
  {
1150
   COORD (1540,560)
1151
  }
1152
  BUS  1580, 0, 0
1153
  {
1154
   NET 1100
1155
   VTX 1510, 1579
1156
  }
1157
  BUS  1581, 0, 0
1158
  {
1159
   NET 1100
1160
   VTX 1579, 1511
1161
  }
1162
  BUS  1582, 0, 0
1163
  {
1164
   NET 1100
1165
   VTX 1512, 1511
1166
  }
1167
  BUS  1583, 0, 0
1168
  {
1169
   NET 550
1170
   VTX 1513, 1514
1171
  }
1172
  BUS  1584, 0, 0
1173
  {
1174
   NET 559
1175
   VTX 1515, 1516
1176
  }
1177
  VTX  1585, 0, 0
1178
  {
1179
   COORD (1340,1540)
1180
  }
1181
  BUS  1586, 0, 0
1182
  {
1183
   NET 559
1184
   VTX 1516, 1585
1185
  }
1186
  BUS  1587, 0, 0
1187
  {
1188
   NET 559
1189
   VTX 1585, 1517
1190
  }
1191
  BUS  1588, 0, 0
1192
  {
1193
   NET 635
1194
   VTX 1518, 1519
1195
  }
1196
  BUS  1589, 0, 0
1197
  {
1198
   NET 620
1199
   VTX 1520, 1521
1200
  }
1201
  BUS  1590, 0, 0
1202
  {
1203
   NET 550
1204
   VTX 1514, 1522
1205
  }
1206
  WIRE  1591, 0, 0
1207
  {
1208
   NET 410
1209
   VTX 1492, 1523
1210
  }
1211
  BUS  1592, 0, 0
1212
  {
1213
   NET 559
1214
   VTX 1516, 1524
1215
  }
1216
  VTX  1593, 0, 0
1217
  {
1218
   COORD (1540,1180)
1219
  }
1220
  BUS  1594, 0, 0
1221
  {
1222
   NET 1100
1223
   VTX 1525, 1593
1224
  }
1225
  BUS  1595, 0, 0
1226
  {
1227
   NET 1100
1228
   VTX 1593, 1526
1229
  }
1230
  WIRE  1596, 0, 0
1231
  {
1232
   NET 472
1233
   VTX 1504, 1527
1234
  }
1235
  BUS  1597, 0, 0
1236
  {
1237
   NET 447
1238
   VTX 1498, 1528
1239
  }
1240
  BUS  1598, 0, 0
1241
  {
1242
   NET 1100
1243
   VTX 1525, 1511
1244
  }
1245
  BUS  1599, 0, 0
1246
  {
1247
   NET 1100
1248
   VTX 1529, 1530
1249
  }
1250
  BUS  1600, 0, 0
1251
  {
1252
   NET 1100
1253
   VTX 1530, 1525
1254
  }
1255
  VTX  1601, 0, 0
1256
  {
1257
   COORD (1300,1500)
1258
  }
1259
  BUS  1602, 0, 0
1260
  {
1261
   NET 1100
1262
   VTX 1531, 1601
1263
  }
1264
  BUS  1603, 0, 0
1265
  {
1266
   NET 1100
1267
   VTX 1601, 1530
1268
  }
1269
  VTX  1604, 0, 0
1270
  {
1271
   COORD (1320,900)
1272
  }
1273
  BUS  1605, 0, 0
1274
  {
1275
   NET 550
1276
   VTX 1514, 1604
1277
  }
1278
  BUS  1606, 0, 0
1279
  {
1280
   NET 550
1281
   VTX 1604, 1532
1282
  }
1283
  BUS  1607, 0, 0
1284
  {
1285
   NET 1163
1286
   VTX 1533, 1534
1287
  }
1288
  WIRE  1608, 0, 0
1289
  {
1290
   NET 1175
1291
   VTX 1488, 1535
1292
  }
1293
  BUS  1609, 0, 0
1294
  {
1295
   NET 937
1296
   VTX 1536, 1537
1297
  }
1298
  BUS  1610, 0, 0
1299
  {
1300
   NET 1345
1301
   VTX 1538, 1539
1302
  }
1303
  BUS  1611, 0, 0
1304
  {
1305
   NET 1345
1306
   VTX 1539, 1540
1307
  }
1308
  VTX  1612, 0, 0
1309
  {
1310
   COORD (2020,1200)
1311
  }
1312
  BUS  1613, 0, 0
1313
  {
1314
   NET 1345
1315
   VTX 1539, 1612
1316
  }
1317
  BUS  1614, 0, 0
1318
  {
1319
   NET 1345
1320
   VTX 1612, 1541
1321
  }
1322
 }
1323
 
1324
}
1325
 
1326
PAGE ""
1327
{
1328
 PAGEHEADER
1329
 {
1330
  PAGESIZE (3307,2338)
1331
  MARGINS (200,200,200,200)
1332
  RECT (0,0,0,0)
1333
  VARIABLES
1334
  {
1335
   #ARCHITECTURE="\\#TABLE\\"
1336
   #BLOCKTABLE_PAGE="1"
1337
   #BLOCKTABLE_TEMPL="1"
1338
   #BLOCKTABLE_VISIBLE="0"
1339
   #ENTITY="\\#TABLE\\"
1340
   #MODIFIED="1140746926"
1341
  }
1342
 }
1343
 
1344
 BODY
1345
 {
1346
  TEXT  1643, 0, 0
1347
  {
1348
   PAGEALIGN 10
1349
   OUTLINE 5,1, (0,0,0)
1350
   TEXT "Created:"
1351
   RECT (2247,2024,2364,2077)
1352
   ALIGN 4
1353
   MARGINS (1,10)
1354
   COLOR (0,0,0)
1355
   FONT (12,0,0,700,0,0,0,"Arial")
1356
  }
1357
  TEXT  1644, 0, 0
1358
  {
1359
   PAGEALIGN 10
1360
   TEXT "$CREATIONDATE"
1361
   RECT (2417,2018,3087,2078)
1362
   ALIGN 4
1363
   MARGINS (1,1)
1364
   COLOR (0,0,0)
1365
   FONT (12,0,0,700,0,128,0,"Arial")
1366
   UPDATE 0
1367
  }
1368
  TEXT  1645, 0, 0
1369
  {
1370
   PAGEALIGN 10
1371
   TEXT "Title:"
1372
   RECT (2248,2082,2319,2135)
1373
   ALIGN 4
1374
   MARGINS (1,10)
1375
   COLOR (0,0,0)
1376
   FONT (12,0,0,700,0,0,0,"Arial")
1377
  }
1378
  TEXT  1646, 0, 0
1379
  {
1380
   PAGEALIGN 10
1381
   OUTLINE 5,1, (0,0,0)
1382
   TEXT "$TITLE"
1383
   RECT (2417,2078,3087,2138)
1384
   ALIGN 4
1385
   MARGINS (1,1)
1386
   COLOR (0,0,0)
1387
   FONT (12,0,0,700,0,128,0,"Arial")
1388
   UPDATE 0
1389
  }
1390
  LINE  1647, 0, 0
1391
  {
1392
   PAGEALIGN 10
1393
   OUTLINE 0,1, (128,128,128)
1394
   POINTS ( (2237,2018), (3107,2018) )
1395
   FILL (1,(0,0,0),0)
1396
  }
1397
  LINE  1648, 0, 0
1398
  {
1399
   PAGEALIGN 10
1400
   OUTLINE 0,1, (128,128,128)
1401
   POINTS ( (2237,2078), (3107,2078) )
1402
   FILL (1,(0,0,0),0)
1403
  }
1404
  LINE  1649, 0, 0
1405
  {
1406
   PAGEALIGN 10
1407
   OUTLINE 0,1, (128,128,128)
1408
   POINTS ( (2407,2018), (2407,2138) )
1409
  }
1410
  LINE  1650, 0, 0
1411
  {
1412
   PAGEALIGN 10
1413
   OUTLINE 0,1, (128,128,128)
1414
   POINTS ( (3107,2138), (3107,1878), (2237,1878), (2237,2138), (3107,2138) )
1415
   FILL (1,(0,0,0),0)
1416
  }
1417
  TEXT  1651, 0, 0
1418
  {
1419
   PAGEALIGN 10
1420
   TEXT
1421
"(C)ALDEC. Inc\n"+
1422
"2260 Corporate Circle\n"+
1423
"Henderson, NV 89074"
1424
   RECT (2247,1898,2542,1999)
1425
   MARGINS (1,1)
1426
   COLOR (0,0,0)
1427
   FONT (12,0,0,700,0,0,0,"Arial")
1428
   MULTILINE
1429
  }
1430
  LINE  1652, 0, 0
1431
  {
1432
   PAGEALIGN 10
1433
   OUTLINE 0,1, (128,128,128)
1434
   POINTS ( (2547,1878), (2547,2018) )
1435
  }
1436
  LINE  1653, 0, 0
1437
  {
1438
   PAGEALIGN 10
1439
   OUTLINE 0,4, (0,4,255)
1440
   POINTS ( (2723,1942), (2789,1942) )
1441
   FILL (0,(0,4,255),0)
1442
  }
1443
  LINE  1654, 0, 0
1444
  {
1445
   PAGEALIGN 10
1446
   OUTLINE 0,1, (0,4,255)
1447
   POINTS ( (2692,1938), (2692,1938) )
1448
   FILL (0,(0,4,255),0)
1449
  }
1450
  LINE  1655, 0, 0
1451
  {
1452
   PAGEALIGN 10
1453
   OUTLINE 0,3, (0,4,255)
1454
   POINTS ( (2741,1942), (2757,1902) )
1455
   FILL (0,(0,4,255),0)
1456
  }
1457
  TEXT  1656, -4, 0
1458
  {
1459
   PAGEALIGN 10
1460
   OUTLINE 5,0, (49,101,255)
1461
   TEXT "ALDEC"
1462
   RECT (2770,1884,3068,1986)
1463
   MARGINS (1,1)
1464
   COLOR (0,4,255)
1465
   FONT (36,0,0,700,0,0,0,"Arial")
1466
  }
1467
  LINE  1657, 0, 0
1468
  {
1469
   PAGEALIGN 10
1470
   OUTLINE 0,3, (0,4,255)
1471
   POINTS ( (2683,1902), (2658,1965) )
1472
   FILL (0,(0,4,255),0)
1473
  }
1474
  BEZIER  1658, 0, 0
1475
  {
1476
   PAGEALIGN 10
1477
   OUTLINE 0,3, (0,4,255)
1478
   FILL (0,(0,4,255),0)
1479
   ORIGINS ( (2690,1928), (2723,1942), (2690,1953), (2690,1928) )
1480
   CONTROLS (( (2714,1928), (2722,1927)),( (2720,1953), (2717,1953)),( (2690,1945), (2690,1940)) )
1481
  }
1482
  LINE  1659, 0, 0
1483
  {
1484
   PAGEALIGN 10
1485
   OUTLINE 0,4, (0,4,255)
1486
   POINTS ( (2602,1949), (2690,1949) )
1487
   FILL (0,(0,4,255),0)
1488
  }
1489
  LINE  1660, 0, 0
1490
  {
1491
   PAGEALIGN 10
1492
   OUTLINE 0,4, (0,4,255)
1493
   POINTS ( (2609,1932), (2690,1932) )
1494
   FILL (0,(0,4,255),0)
1495
  }
1496
  LINE  1661, 0, 0
1497
  {
1498
   PAGEALIGN 10
1499
   OUTLINE 0,1, (0,4,255)
1500
   POINTS ( (2795,1909), (2618,1909) )
1501
   FILL (0,(0,4,255),0)
1502
  }
1503
  LINE  1662, 0, 0
1504
  {
1505
   PAGEALIGN 10
1506
   OUTLINE 0,1, (0,4,255)
1507
   POINTS ( (2793,1916), (2615,1916) )
1508
   FILL (0,(0,4,255),0)
1509
  }
1510
  LINE  1663, 0, 0
1511
  {
1512
   PAGEALIGN 10
1513
   OUTLINE 0,1, (0,4,255)
1514
   POINTS ( (2807,1924), (2613,1924) )
1515
   FILL (0,(0,4,255),0)
1516
  }
1517
  LINE  1664, 0, 0
1518
  {
1519
   PAGEALIGN 10
1520
   OUTLINE 0,1, (0,4,255)
1521
   POINTS ( (2809,1932), (2617,1932) )
1522
   FILL (0,(0,4,255),0)
1523
  }
1524
  LINE  1665, 0, 0
1525
  {
1526
   PAGEALIGN 10
1527
   OUTLINE 0,1, (0,4,255)
1528
   POINTS ( (2722,1940), (2606,1940) )
1529
   FILL (0,(0,4,255),0)
1530
  }
1531
  LINE  1666, 0, 0
1532
  {
1533
   PAGEALIGN 10
1534
   OUTLINE 0,1, (0,4,255)
1535
   POINTS ( (2787,1949), (2602,1949) )
1536
   FILL (0,(0,4,255),0)
1537
  }
1538
  LINE  1667, 0, 0
1539
  {
1540
   PAGEALIGN 10
1541
   OUTLINE 0,1, (0,4,255)
1542
   POINTS ( (2780,1957), (2599,1957) )
1543
   FILL (0,(0,4,255),0)
1544
  }
1545
  TEXT  1668, 0, 0
1546
  {
1547
   PAGEALIGN 10
1548
   TEXT "The Design Verification Company"
1549
   RECT (2589,1974,3041,2008)
1550
   MARGINS (1,1)
1551
   COLOR (0,4,255)
1552
   FONT (12,0,0,700,1,0,0,"Arial")
1553
  }
1554
  LINE  1669, 0, 0
1555
  {
1556
   PAGEALIGN 10
1557
   OUTLINE 0,1, (0,4,255)
1558
   POINTS ( (2774,1965), (2596,1965) )
1559
   FILL (0,(0,4,255),0)
1560
  }
1561
  LINE  1670, 0, 0
1562
  {
1563
   PAGEALIGN 10
1564
   OUTLINE 0,1, (0,4,255)
1565
   POINTS ( (2797,1902), (2621,1902) )
1566
   FILL (0,(0,4,255),0)
1567
  }
1568
 }
1569
 
1570
}
1571
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.