OpenCores
URL https://opencores.org/ocsvn/mips789/mips789/trunk

Subversion Repositories mips789

[/] [mips789/] [branches/] [mcupro/] [verilog/] [altera_ram/] [ram2048x8_0.v] - Blame information for rev 51

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mcupro
// megafunction wizard: %RAM: 2-PORT%
2
// GENERATION: STANDARD
3
// VERSION: WM1.0
4
// MODULE: altsyncram 
5
 
6
// ============================================================
7
// File Name: ram2048x8_0.v
8
// Megafunction Name(s):
9
//                      altsyncram
10
// ============================================================
11
// ************************************************************
12
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
13
//
14
// 4.2 Build 157 12/07/2004 SJ Full Version
15
// ************************************************************
16
 
17
 
18
//Copyright (C) 1991-2004 Altera Corporation
19
//Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
20
//support information,  device programming or simulation file,  and any other
21
//associated  documentation or information  provided by  Altera  or a partner
22
//under  Altera's   Megafunction   Partnership   Program  may  be  used  only
23
//to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
24
//other  use  of such  megafunction  design,  netlist,  support  information,
25
//device programming or simulation file,  or any other  related documentation
26
//or information  is prohibited  for  any  other purpose,  including, but not
27
//limited to  modification,  reverse engineering,  de-compiling, or use  with
28
//any other  silicon devices,  unless such use is  explicitly  licensed under
29
//a separate agreement with  Altera  or a megafunction partner.  Title to the
30
//intellectual property,  including patents,  copyrights,  trademarks,  trade
31
//secrets,  or maskworks,  embodied in any such megafunction design, netlist,
32
//support  information,  device programming or simulation file,  or any other
33
//related documentation or information provided by  Altera  or a megafunction
34
//partner, remains with Altera, the megafunction partner, or their respective
35
//licensors. No other licenses, including any licenses needed under any third
36
//party's intellectual property, are provided herein.
37
 
38
 
39
// synopsys translate_off
40
`timescale 1 ps / 1 ps
41
// synopsys translate_on
42
module ram2048x8_0 (
43
        data_a,
44
        wren_a,
45
        address_a,
46
        data_b,
47
        address_b,
48
        wren_b,
49
        clock,
50
        q_a,
51
        q_b);
52
 
53
        input   [7:0]  data_a;
54
        input     wren_a;
55
        input   [10:0]  address_a;
56
        input   [7:0]  data_b;
57
        input   [10:0]  address_b;
58
        input     wren_b;
59
        input     clock;
60
        output  [7:0]  q_a;
61
        output  [7:0]  q_b;
62
 
63
        wire [7:0] sub_wire0;
64
        wire [7:0] sub_wire1;
65
        wire [7:0] q_a = sub_wire0[7:0];
66
        wire [7:0] q_b = sub_wire1[7:0];
67
 
68
        altsyncram      altsyncram_component (
69
                                .wren_a (wren_a),
70
                                .clock0 (clock),
71
                                .wren_b (wren_b),
72
                                .address_a (address_a),
73
                                .address_b (address_b),
74
                                .data_a (data_a),
75
                                .data_b (data_b),
76
                                .q_a (sub_wire0),
77
                                .q_b (sub_wire1)
78
                                // synopsys translate_off
79
                                ,
80
                                .aclr0 (),
81
                                .aclr1 (),
82
                                .addressstall_a (),
83
                                .addressstall_b (),
84
                                .byteena_a (),
85
                                .byteena_b (),
86
                                .clock1 (),
87
                                .clocken0 (),
88
                                .clocken1 (),
89
                                .rden_b ()
90
                                // synopsys translate_on
91
                                );
92
        defparam
93
                altsyncram_component.intended_device_family = "Cyclone",
94
                altsyncram_component.operation_mode = "BIDIR_DUAL_PORT",
95
                altsyncram_component.width_a = 8,
96
                altsyncram_component.widthad_a = 11,
97
                altsyncram_component.numwords_a = 2048,
98
                altsyncram_component.width_b = 8,
99
                altsyncram_component.widthad_b = 11,
100
                altsyncram_component.numwords_b = 2048,
101
                altsyncram_component.lpm_type = "altsyncram",
102
                altsyncram_component.width_byteena_a = 1,
103
                altsyncram_component.width_byteena_b = 1,
104
                altsyncram_component.outdata_reg_a = "UNREGISTERED",
105
                altsyncram_component.outdata_aclr_a = "NONE",
106
                altsyncram_component.outdata_reg_b = "UNREGISTERED",
107
                altsyncram_component.indata_aclr_a = "NONE",
108
                altsyncram_component.wrcontrol_aclr_a = "NONE",
109
                altsyncram_component.address_aclr_a = "NONE",
110
                altsyncram_component.indata_reg_b = "CLOCK0",
111
                altsyncram_component.address_reg_b = "CLOCK0",
112
                altsyncram_component.wrcontrol_wraddress_reg_b = "CLOCK0",
113
                altsyncram_component.indata_aclr_b = "NONE",
114
                altsyncram_component.wrcontrol_aclr_b = "NONE",
115
                altsyncram_component.address_aclr_b = "NONE",
116
                altsyncram_component.outdata_aclr_b = "NONE",
117
                altsyncram_component.read_during_write_mode_mixed_ports = "DONT_CARE",
118
                altsyncram_component.init_file = "qu2_ram0.mif";
119
 
120
 
121
endmodule
122
 
123
// ============================================================
124
// CNX file retrieval info
125
// ============================================================
126
// Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "0"
127
// Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "3"
128
// Retrieval info: PRIVATE: UseDPRAM NUMERIC "1"
129
// Retrieval info: PRIVATE: VarWidth NUMERIC "0"
130
// Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "8"
131
// Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "8"
132
// Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "8"
133
// Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "8"
134
// Retrieval info: PRIVATE: MEMSIZE NUMERIC "16384"
135
// Retrieval info: PRIVATE: Clock NUMERIC "0"
136
// Retrieval info: PRIVATE: rden NUMERIC "0"
137
// Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "0"
138
// Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "0"
139
// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
140
// Retrieval info: PRIVATE: Clock_A NUMERIC "0"
141
// Retrieval info: PRIVATE: Clock_B NUMERIC "0"
142
// Retrieval info: PRIVATE: REGdata NUMERIC "1"
143
// Retrieval info: PRIVATE: REGwraddress NUMERIC "1"
144
// Retrieval info: PRIVATE: REGwren NUMERIC "1"
145
// Retrieval info: PRIVATE: REGrdaddress NUMERIC "0"
146
// Retrieval info: PRIVATE: REGrren NUMERIC "0"
147
// Retrieval info: PRIVATE: REGq NUMERIC "0"
148
// Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "1"
149
// Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "1"
150
// Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "0"
151
// Retrieval info: PRIVATE: CLRdata NUMERIC "0"
152
// Retrieval info: PRIVATE: CLRwren NUMERIC "0"
153
// Retrieval info: PRIVATE: CLRwraddress NUMERIC "0"
154
// Retrieval info: PRIVATE: CLRrdaddress NUMERIC "0"
155
// Retrieval info: PRIVATE: CLRrren NUMERIC "0"
156
// Retrieval info: PRIVATE: CLRq NUMERIC "0"
157
// Retrieval info: PRIVATE: BYTEENA_ACLR_A NUMERIC "0"
158
// Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0"
159
// Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0"
160
// Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0"
161
// Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "0"
162
// Retrieval info: PRIVATE: BYTEENA_ACLR_B NUMERIC "0"
163
// Retrieval info: PRIVATE: enable NUMERIC "0"
164
// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
165
// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
166
// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "0"
167
// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_B NUMERIC "0"
168
// Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
169
// Retrieval info: PRIVATE: ADDRESSSTALL_B NUMERIC "0"
170
// Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "2"
171
// Retrieval info: PRIVATE: BlankMemory NUMERIC "0"
172
// Retrieval info: PRIVATE: MIFfilename STRING "qu2_ram0.mif"
173
// Retrieval info: PRIVATE: UseLCs NUMERIC "0"
174
// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
175
// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
176
// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
177
// Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
178
// Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
179
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone"
180
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"
181
// Retrieval info: CONSTANT: OPERATION_MODE STRING "BIDIR_DUAL_PORT"
182
// Retrieval info: CONSTANT: WIDTH_A NUMERIC "8"
183
// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "11"
184
// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "2048"
185
// Retrieval info: CONSTANT: WIDTH_B NUMERIC "8"
186
// Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "11"
187
// Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "2048"
188
// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
189
// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
190
// Retrieval info: CONSTANT: WIDTH_BYTEENA_B NUMERIC "1"
191
// Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED"
192
// Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"
193
// Retrieval info: CONSTANT: OUTDATA_REG_B STRING "UNREGISTERED"
194
// Retrieval info: CONSTANT: INDATA_ACLR_A STRING "NONE"
195
// Retrieval info: CONSTANT: WRCONTROL_ACLR_A STRING "NONE"
196
// Retrieval info: CONSTANT: ADDRESS_ACLR_A STRING "NONE"
197
// Retrieval info: CONSTANT: INDATA_REG_B STRING "CLOCK0"
198
// Retrieval info: CONSTANT: ADDRESS_REG_B STRING "CLOCK0"
199
// Retrieval info: CONSTANT: WRCONTROL_WRADDRESS_REG_B STRING "CLOCK0"
200
// Retrieval info: CONSTANT: INDATA_ACLR_B STRING "NONE"
201
// Retrieval info: CONSTANT: WRCONTROL_ACLR_B STRING "NONE"
202
// Retrieval info: CONSTANT: ADDRESS_ACLR_B STRING "NONE"
203
// Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "NONE"
204
// Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_MIXED_PORTS STRING "DONT_CARE"
205
// Retrieval info: CONSTANT: INIT_FILE STRING "qu2_ram0.mif"
206
// Retrieval info: USED_PORT: data_a 0 0 8 0 INPUT NODEFVAL data_a[7..0]
207
// Retrieval info: USED_PORT: wren_a 0 0 0 0 INPUT VCC wren_a
208
// Retrieval info: USED_PORT: q_a 0 0 8 0 OUTPUT NODEFVAL q_a[7..0]
209
// Retrieval info: USED_PORT: q_b 0 0 8 0 OUTPUT NODEFVAL q_b[7..0]
210
// Retrieval info: USED_PORT: address_a 0 0 11 0 INPUT NODEFVAL address_a[10..0]
211
// Retrieval info: USED_PORT: data_b 0 0 8 0 INPUT NODEFVAL data_b[7..0]
212
// Retrieval info: USED_PORT: address_b 0 0 11 0 INPUT NODEFVAL address_b[10..0]
213
// Retrieval info: USED_PORT: wren_b 0 0 0 0 INPUT VCC wren_b
214
// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock
215
// Retrieval info: CONNECT: @data_a 0 0 8 0 data_a 0 0 8 0
216
// Retrieval info: CONNECT: @wren_a 0 0 0 0 wren_a 0 0 0 0
217
// Retrieval info: CONNECT: q_a 0 0 8 0 @q_a 0 0 8 0
218
// Retrieval info: CONNECT: q_b 0 0 8 0 @q_b 0 0 8 0
219
// Retrieval info: CONNECT: @address_a 0 0 11 0 address_a 0 0 11 0
220
// Retrieval info: CONNECT: @data_b 0 0 8 0 data_b 0 0 8 0
221
// Retrieval info: CONNECT: @address_b 0 0 11 0 address_b 0 0 11 0
222
// Retrieval info: CONNECT: @wren_b 0 0 0 0 wren_b 0 0 0 0
223
// Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
224
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
225
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4092x8_0.v FALSE
226
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4092x8_0.inc FALSE
227
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4092x8_0.cmp FALSE
228
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4092x8_0.bsf FALSE
229
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4092x8_0_inst.v FALSE
230
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4092x8_0_bb.v FALSE
231
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4092x8_0_waveforms.html FALSE
232
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4092x8_0_wave*.jpg FALSE
233
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_0.v TRUE
234
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_0.inc FALSE
235
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_0.cmp FALSE
236
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_0.bsf FALSE
237
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_0_inst.v FALSE
238
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_0_bb.v TRUE
239
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_0_waveforms.html TRUE
240
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_0_wave*.jpg FALSE

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.