OpenCores
URL https://opencores.org/ocsvn/mips789/mips789/trunk

Subversion Repositories mips789

[/] [mips789/] [tags/] [arelease/] [rtl/] [verilog/] [altera/] [pin_set.tcl] - Blame information for rev 51

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 10 mcupro
#EP1C3T144C8 Setup.tcl 
2
# Setup pin setting for evaluaton board V1.0
3
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
4
set_global_assignment -name ENABLE_INIT_DONE_OUTPUT OFF
5
 
6
set_location_assignment PIN_28 -to clk
7
set_location_assignment PIN_159 -to rst
8
set_location_assignment PIN_156 -to key1
9
set_location_assignment PIN_158 -to key2
10
 
11
set_location_assignment PIN_1 -to led1
12
set_location_assignment PIN_2 -to led2
13
set_location_assignment PIN_177 -to ser_rxd
14
set_location_assignment PIN_176 -to ser_txd
15
 
16
set_location_assignment PIN_135 -to lcd_en
17
set_location_assignment PIN_133 -to lcd_rs
18
set_location_assignment PIN_134 -to lcd_rw
19
set_location_assignment PIN_136 -to lcd_data\[0\]
20
set_location_assignment PIN_137 -to lcd_data\[1\]
21
set_location_assignment PIN_138 -to lcd_data\[2\]
22
set_location_assignment PIN_139 -to lcd_data\[3\]
23
set_location_assignment PIN_140 -to lcd_data\[4\]
24
set_location_assignment PIN_141 -to lcd_data\[5\]
25
set_location_assignment PIN_143 -to lcd_data\[6\]
26
set_location_assignment PIN_144 -to lcd_data\[7\]
27
 
28
set_location_assignment PIN_169 -to seg7led1\[0\]
29
set_location_assignment PIN_166 -to seg7led1\[1\]
30
set_location_assignment PIN_161 -to seg7led1\[2\]
31
set_location_assignment PIN_160 -to seg7led1\[3\]
32
set_location_assignment PIN_164 -to seg7led1\[4\]
33
set_location_assignment PIN_168 -to seg7led1\[5\]
34
set_location_assignment PIN_167 -to seg7led1\[6\]
35
set_location_assignment PIN_175 -to seg7led2\[0\]
36
set_location_assignment PIN_170 -to seg7led2\[1\]
37
set_location_assignment PIN_163 -to seg7led2\[2\]
38
set_location_assignment PIN_165 -to seg7led2\[3\]
39
set_location_assignment PIN_162 -to seg7led2\[4\]
40
set_location_assignment PIN_174 -to seg7led2\[5\]
41
set_location_assignment PIN_173 -to seg7led2\[6\]
42
 
43
set_location_assignment PIN_128 -to uart_rxd_usb
44
set_location_assignment PIN_131 -to uart_txd_usb
45
 
46
set_location_assignment PIN_60 -to sd_data\[0\]
47
set_location_assignment PIN_59 -to sd_data\[1\]
48
set_location_assignment PIN_58 -to sd_data\[2\]
49
set_location_assignment PIN_57 -to sd_data\[3\]
50
set_location_assignment PIN_56 -to sd_data\[4\]
51
set_location_assignment PIN_55 -to sd_data\[5\]
52
set_location_assignment PIN_54 -to sd_data\[6\]
53
set_location_assignment PIN_53 -to sd_data\[7\]
54
set_location_assignment PIN_12 -to sd_data\[8\]
55
set_location_assignment PIN_11 -to sd_data\[9\]
56
set_location_assignment PIN_8 -to sd_data\[10\]
57
set_location_assignment PIN_7 -to sd_data\[11\]
58
set_location_assignment PIN_6 -to sd_data\[12\]
59
set_location_assignment PIN_5 -to sd_data\[13\]
60
set_location_assignment PIN_4 -to sd_data\[14\]
61
set_location_assignment PIN_3 -to sd_data\[15\]
62
 
63
set_location_assignment PIN_42 -to sd_addr\[0\]
64
set_location_assignment PIN_41 -to sd_addr\[1\]
65
set_location_assignment PIN_39 -to sd_addr\[2\]
66
set_location_assignment PIN_38 -to sd_addr\[3\]
67
set_location_assignment PIN_23 -to sd_addr\[4\]
68
set_location_assignment PIN_21 -to sd_addr\[5\]
69
set_location_assignment PIN_20 -to sd_addr\[6\]
70
set_location_assignment PIN_19 -to sd_addr\[7\]
71
set_location_assignment PIN_18 -to sd_addr\[8\]
72
set_location_assignment PIN_17 -to sd_addr\[9\]
73
set_location_assignment PIN_43 -to sd_addr\[10\]
74
set_location_assignment PIN_16 -to sd_addr\[11\]
75
 
76
set_location_assignment PIN_45 -to sd_ba\[0\]
77
set_location_assignment PIN_44 -to sd_ba\[1\]
78
 
79
set_location_assignment PIN_50 -to sd_dqm\[0\]
80
set_location_assignment PIN_13 -to sd_dqm\[1\]
81
 
82
set_location_assignment PIN_46 -to sd_cs
83
set_location_assignment PIN_47 -to sd_ras
84
set_location_assignment PIN_48 -to sd_cas
85
set_location_assignment PIN_49 -to sd_we
86
set_location_assignment PIN_15 -to sd_cke
87
set_location_assignment PIN_14 -to sd_clk
88
 
89
 
90
set_location_assignment PIN_208 -to FLASH_CE
91
set_location_assignment PIN_213 -to FLASH_OE
92
set_location_assignment PIN_206 -to FLASH_WE
93
 
94
set_location_assignment PIN_196 -to FLASH_RESET
95
set_location_assignment PIN_223 -to FLASH_BYTE
96
 
97
set_location_assignment PIN_207 -to FLASH_ADDR\[0\]
98
set_location_assignment PIN_181 -to FLASH_ADDR\[1\]
99
set_location_assignment PIN_182 -to FLASH_ADDR\[2\]
100
set_location_assignment PIN_183 -to FLASH_ADDR\[3\]
101
set_location_assignment PIN_184 -to FLASH_ADDR\[4\]
102
set_location_assignment PIN_185 -to FLASH_ADDR\[5\]
103
set_location_assignment PIN_186 -to FLASH_ADDR\[6\]
104
set_location_assignment PIN_187 -to FLASH_ADDR\[7\]
105
set_location_assignment PIN_204 -to FLASH_ADDR\[8\]
106
set_location_assignment PIN_203 -to FLASH_ADDR\[9\]
107
set_location_assignment PIN_202 -to FLASH_ADDR\[10\]
108
set_location_assignment PIN_201 -to FLASH_ADDR\[11\]
109
set_location_assignment PIN_200 -to FLASH_ADDR\[12\]
110
set_location_assignment PIN_199 -to FLASH_ADDR\[13\]
111
set_location_assignment PIN_198 -to FLASH_ADDR\[14\]
112
set_location_assignment PIN_197 -to FLASH_ADDR\[15\]
113
set_location_assignment PIN_222 -to FLASH_ADDR\[16\]
114
set_location_assignment PIN_188 -to FLASH_ADDR\[17\]
115
set_location_assignment PIN_193 -to FLASH_ADDR\[18\]
116
set_location_assignment PIN_205 -to FLASH_ADDR\[19\]
117
 
118
set_location_assignment PIN_214 -to FLASH_DQ\[0\]
119
set_location_assignment PIN_216 -to FLASH_DQ\[1\]
120
set_location_assignment PIN_218 -to FLASH_DQ\[2\]
121
set_location_assignment PIN_220 -to FLASH_DQ\[3\]
122
set_location_assignment PIN_235 -to FLASH_DQ\[4\]
123
set_location_assignment PIN_233 -to FLASH_DQ\[5\]
124
set_location_assignment PIN_227 -to FLASH_DQ\[6\]
125
set_location_assignment PIN_225 -to FLASH_DQ\[7\]
126
set_location_assignment PIN_215 -to FLASH_DQ\[8\]
127
set_location_assignment PIN_217 -to FLASH_DQ\[9\]
128
set_location_assignment PIN_219 -to FLASH_DQ\[10\]
129
set_location_assignment PIN_221 -to FLASH_DQ\[11\]
130
set_location_assignment PIN_234 -to FLASH_DQ\[12\]
131
set_location_assignment PIN_228 -to FLASH_DQ\[13\]
132
set_location_assignment PIN_226 -to FLASH_DQ\[14\]
133
set_location_assignment PIN_224 -to FLASH_DQ\[15\]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.