OpenCores
URL https://opencores.org/ocsvn/mips789/mips789/trunk

Subversion Repositories mips789

[/] [mips789/] [tags/] [arelease/] [synplify_prj/] [mips_sys/] [syntmp/] [mips_sys_srr.htm] - Blame information for rev 51

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 10 mcupro
<html>
2
<body><samp><pre>
3
<!@TC:1190196158>
4
#Program: Synplify Pro 8.1
5
#OS: Windows_NT
6
 
7
<a name=compilerReport33>$ Start of Compile
8
#Wed Sep 19 18:02:08 2007
9
 
10
Synplicity Verilog Compiler, version 3.1.0, Build 049R, built May  3 2005
11
Copyright (C) 1994-2005, Synplicity Inc.  All Rights Reserved
12
 
13
@I::"C:\Program Files\Synplicity\fpga_81\lib\altera\altera.v"
14
@I::"C:\Program Files\Synplicity\fpga_81\lib\altera\cyclone.v"
15
@I::"C:\Program Files\Synplicity\fpga_81\lib\altera\altera_mf.v"
16
@I::"C:\Program Files\Synplicity\fpga_81\lib\altera\altera_lpm.v"
17
@I::"F:\a\rtl\verilog\ctl_fsm.v"
18
@I:"F:\a\rtl\verilog\ctl_fsm.v":"F:\a\rtl\verilog\include.h"
19
@N: : <a href="f:\a\rtl\verilog\ctl_fsm.v:58:43:58:56:@N::@XP_MSG">ctl_fsm.v(58)</a><!@TM:1190196158> | Read parallel_case directive
20
@N: : <a href="f:\a\rtl\verilog\ctl_fsm.v:58:57:58:66:@N::@XP_MSG">ctl_fsm.v(58)</a><!@TM:1190196158> | Read full_case directive
21
<font color=#A52A2A>@W:<a href="@W:CG286:@XP_HELP">CG286</a> : <a href="f:\a\rtl\verilog\ctl_fsm.v:58:8:58:12:@W:CG286:@XP_MSG">ctl_fsm.v(58)</a><!@TM:1190196158> | Case statement has both a full_case directive and a default clause.  The full_case directive is ignored.</font>
22
@I::"F:\a\rtl\verilog\decode_pipe.v"
23
@I:"F:\a\rtl\verilog\decode_pipe.v":"F:\a\rtl\verilog\include.h"
24
@N: : <a href="f:\a\rtl\verilog\decode_pipe.v:31:34:31:47:@N::@XP_MSG">decode_pipe.v(31)</a><!@TM:1190196158> | Read parallel_case directive
25
@N: : <a href="f:\a\rtl\verilog\decode_pipe.v:34:45:34:58:@N::@XP_MSG">decode_pipe.v(34)</a><!@TM:1190196158> | Read parallel_case directive
26
@N: : <a href="f:\a\rtl\verilog\decode_pipe.v:533:47:533:60:@N::@XP_MSG">decode_pipe.v(533)</a><!@TM:1190196158> | Read parallel_case directive
27
@N: : <a href="f:\a\rtl\verilog\decode_pipe.v:835:49:835:62:@N::@XP_MSG">decode_pipe.v(835)</a><!@TM:1190196158> | Read parallel_case directive
28
@I::"F:\a\rtl\verilog\dvc.v"
29
@I:"F:\a\rtl\verilog\dvc.v":"F:\a\rtl\verilog\include.h"
30
@I::"F:\a\rtl\verilog\EXEC_stage.v"
31
@I:"F:\a\rtl\verilog\EXEC_stage.v":"F:\a\rtl\verilog\include.h"
32
@I:"F:\a\rtl\verilog\EXEC_stage.v":"F:\a\rtl\verilog\include.h"
33
@N: : <a href="f:\a\rtl\verilog\exec_stage.v:694:80:694:93:@N::@XP_MSG">exec_stage.v(694)</a><!@TM:1190196158> | Read parallel_case directive
34
@I::"F:\a\rtl\verilog\fifo.v"
35
@I:"F:\a\rtl\verilog\fifo.v":"F:\a\rtl\verilog\include.h"
36
@I::"F:\a\rtl\verilog\forward.v"
37
@I:"F:\a\rtl\verilog\forward.v":"F:\a\rtl\verilog\include.h"
38
@I::"F:\a\rtl\verilog\mem_module.v"
39
@I:"F:\a\rtl\verilog\mem_module.v":"F:\a\rtl\verilog\include.h"
40
@I::"F:\a\rtl\verilog\mips_core.v"
41
@I:"F:\a\rtl\verilog\mips_core.v":"F:\a\rtl\verilog\include.h"
42
@I::"F:\a\rtl\verilog\mips_dvc.v"
43
@I:"F:\a\rtl\verilog\mips_dvc.v":"F:\a\rtl\verilog\include.h"
44
@I::"F:\a\rtl\verilog\mips_sys.v"
45
@I:"F:\a\rtl\verilog\mips_sys.v":"F:\a\rtl\verilog\include.h"
46
@I::"F:\a\rtl\verilog\mips_uart.v"
47
@I:"F:\a\rtl\verilog\mips_uart.v":"F:\a\rtl\verilog\include.h"
48
@I::"F:\a\rtl\verilog\ram_module.v"
49
@I:"F:\a\rtl\verilog\ram_module.v":"F:\a\rtl\verilog\include.h"
50
@I::"F:\a\rtl\verilog\RF_components.v"
51
@I:"F:\a\rtl\verilog\RF_components.v":"F:\a\rtl\verilog\include.h"
52
@I::"F:\a\rtl\verilog\RF_stage.v"
53
@I:"F:\a\rtl\verilog\RF_stage.v":"F:\a\rtl\verilog\include.h"
54
@I::"F:\a\rtl\verilog\sim_ram.v"
55
@I::"F:\a\rtl\verilog\tools.v"
56
@I:"F:\a\rtl\verilog\tools.v":"F:\a\rtl\verilog\include.h"
57
@I::"F:\a\rtl\verilog\altera\ram_module.v"
58
@I::"F:\a\rtl\verilog\altera\mips_top.v"
59
@I::"F:\a\rtl\verilog\altera\ram2048x8_0.v"
60
@N: : <a href="f:\a\rtl\verilog\altera\ram2048x8_0.v:39:12:39:25:@N::@XP_MSG">ram2048x8_0.v(39)</a><!@TM:1190196158> | Read directive translate_off
61
@N: : <a href="f:\a\rtl\verilog\altera\ram2048x8_0.v:41:12:41:24:@N::@XP_MSG">ram2048x8_0.v(41)</a><!@TM:1190196158> | Read directive translate_on
62
@N: : <a href="f:\a\rtl\verilog\altera\ram2048x8_0.v:78:16:78:29:@N::@XP_MSG">ram2048x8_0.v(78)</a><!@TM:1190196158> | Read directive translate_off
63
@N: : <a href="f:\a\rtl\verilog\altera\ram2048x8_0.v:90:16:90:28:@N::@XP_MSG">ram2048x8_0.v(90)</a><!@TM:1190196158> | Read directive translate_on
64
@I::"F:\a\rtl\verilog\altera\ram2048x8_1.v"
65
@N: : <a href="f:\a\rtl\verilog\altera\ram2048x8_1.v:39:12:39:25:@N::@XP_MSG">ram2048x8_1.v(39)</a><!@TM:1190196158> | Read directive translate_off
66
@N: : <a href="f:\a\rtl\verilog\altera\ram2048x8_1.v:41:12:41:24:@N::@XP_MSG">ram2048x8_1.v(41)</a><!@TM:1190196158> | Read directive translate_on
67
@N: : <a href="f:\a\rtl\verilog\altera\ram2048x8_1.v:78:16:78:29:@N::@XP_MSG">ram2048x8_1.v(78)</a><!@TM:1190196158> | Read directive translate_off
68
@N: : <a href="f:\a\rtl\verilog\altera\ram2048x8_1.v:90:16:90:28:@N::@XP_MSG">ram2048x8_1.v(90)</a><!@TM:1190196158> | Read directive translate_on
69
@I::"F:\a\rtl\verilog\altera\ram2048x8_2.v"
70
@N: : <a href="f:\a\rtl\verilog\altera\ram2048x8_2.v:39:12:39:25:@N::@XP_MSG">ram2048x8_2.v(39)</a><!@TM:1190196158> | Read directive translate_off
71
@N: : <a href="f:\a\rtl\verilog\altera\ram2048x8_2.v:41:12:41:24:@N::@XP_MSG">ram2048x8_2.v(41)</a><!@TM:1190196158> | Read directive translate_on
72
@N: : <a href="f:\a\rtl\verilog\altera\ram2048x8_2.v:78:16:78:29:@N::@XP_MSG">ram2048x8_2.v(78)</a><!@TM:1190196158> | Read directive translate_off
73
@N: : <a href="f:\a\rtl\verilog\altera\ram2048x8_2.v:90:16:90:28:@N::@XP_MSG">ram2048x8_2.v(90)</a><!@TM:1190196158> | Read directive translate_on
74
@I::"F:\a\rtl\verilog\altera\ram2048x8_3.v"
75
@N: : <a href="f:\a\rtl\verilog\altera\ram2048x8_3.v:39:12:39:25:@N::@XP_MSG">ram2048x8_3.v(39)</a><!@TM:1190196158> | Read directive translate_off
76
@N: : <a href="f:\a\rtl\verilog\altera\ram2048x8_3.v:41:12:41:24:@N::@XP_MSG">ram2048x8_3.v(41)</a><!@TM:1190196158> | Read directive translate_on
77
@N: : <a href="f:\a\rtl\verilog\altera\ram2048x8_3.v:78:16:78:29:@N::@XP_MSG">ram2048x8_3.v(78)</a><!@TM:1190196158> | Read directive translate_off
78
@N: : <a href="f:\a\rtl\verilog\altera\ram2048x8_3.v:90:16:90:28:@N::@XP_MSG">ram2048x8_3.v(90)</a><!@TM:1190196158> | Read directive translate_on
79
@I::"F:\a\rtl\verilog\altera\mips_pll.v"
80
@N: : <a href="f:\a\rtl\verilog\altera\mips_pll.v:39:12:39:25:@N::@XP_MSG">mips_pll.v(39)</a><!@TM:1190196158> | Read directive translate_off
81
@N: : <a href="f:\a\rtl\verilog\altera\mips_pll.v:41:12:41:24:@N::@XP_MSG">mips_pll.v(41)</a><!@TM:1190196158> | Read directive translate_on
82
@N: : <a href="f:\a\rtl\verilog\altera\mips_pll.v:59:16:59:29:@N::@XP_MSG">mips_pll.v(59)</a><!@TM:1190196158> | Read directive translate_off
83
@N: : <a href="f:\a\rtl\verilog\altera\mips_pll.v:84:16:84:28:@N::@XP_MSG">mips_pll.v(84)</a><!@TM:1190196158> | Read directive translate_on
84
Verilog syntax check successful!
85
File F:\a\rtl\verilog\fifo512_cyclone.v changed - recompiling
86
Selecting top level module mips_sys
87
@N: : <a href="f:\a\rtl\verilog\mem_module.v:78:7:78:26:@N::@XP_MSG">mem_module.v(78)</a><!@TM:1190196158> | Synthesizing module infile_dmem_ctl_reg
88
 
89
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190196158> | Input port bit <30> of dmem_addr_i[31:0] is unused</font>
90
 
91
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190196158> | Input port bit <29> of dmem_addr_i[31:0] is unused</font>
92
 
93
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190196158> | Input port bit <28> of dmem_addr_i[31:0] is unused</font>
94
 
95
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190196158> | Input port bit <27> of dmem_addr_i[31:0] is unused</font>
96
 
97
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190196158> | Input port bit <26> of dmem_addr_i[31:0] is unused</font>
98
 
99
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190196158> | Input port bit <25> of dmem_addr_i[31:0] is unused</font>
100
 
101
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190196158> | Input port bit <24> of dmem_addr_i[31:0] is unused</font>
102
 
103
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190196158> | Input port bit <23> of dmem_addr_i[31:0] is unused</font>
104
 
105
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190196158> | Input port bit <22> of dmem_addr_i[31:0] is unused</font>
106
 
107
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190196158> | Input port bit <21> of dmem_addr_i[31:0] is unused</font>
108
 
109
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190196158> | Input port bit <20> of dmem_addr_i[31:0] is unused</font>
110
 
111
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190196158> | Input port bit <19> of dmem_addr_i[31:0] is unused</font>
112
 
113
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190196158> | Input port bit <18> of dmem_addr_i[31:0] is unused</font>
114
 
115
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190196158> | Input port bit <17> of dmem_addr_i[31:0] is unused</font>
116
 
117
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190196158> | Input port bit <16> of dmem_addr_i[31:0] is unused</font>
118
 
119
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190196158> | Input port bit <15> of dmem_addr_i[31:0] is unused</font>
120
 
121
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190196158> | Input port bit <14> of dmem_addr_i[31:0] is unused</font>
122
 
123
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190196158> | Input port bit <13> of dmem_addr_i[31:0] is unused</font>
124
 
125
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190196158> | Input port bit <12> of dmem_addr_i[31:0] is unused</font>
126
 
127
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190196158> | Input port bit <11> of dmem_addr_i[31:0] is unused</font>
128
 
129
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190196158> | Input port bit <10> of dmem_addr_i[31:0] is unused</font>
130
 
131
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190196158> | Input port bit <9> of dmem_addr_i[31:0] is unused</font>
132
 
133
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190196158> | Input port bit <8> of dmem_addr_i[31:0] is unused</font>
134
 
135
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190196158> | Input port bit <7> of dmem_addr_i[31:0] is unused</font>
136
 
137
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190196158> | Input port bit <6> of dmem_addr_i[31:0] is unused</font>
138
 
139
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190196158> | Input port bit <5> of dmem_addr_i[31:0] is unused</font>
140
 
141
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190196158> | Input port bit <4> of dmem_addr_i[31:0] is unused</font>
142
 
143
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190196158> | Input port bit <3> of dmem_addr_i[31:0] is unused</font>
144
 
145
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190196158> | Input port bit <2> of dmem_addr_i[31:0] is unused</font>
146
 
147
@N: : <a href="f:\a\rtl\verilog\mem_module.v:96:7:96:19:@N::@XP_MSG">mem_module.v(96)</a><!@TM:1190196158> | Synthesizing module mem_addr_ctl
148
 
149
<font color=#A52A2A>@W:<a href="@W:CL118:@XP_HELP">CL118</a> : <a href="f:\a\rtl\verilog\mem_module.v:102:4:102:8:@W:CL118:@XP_MSG">mem_module.v(102)</a><!@TM:1190196158> | Latch generated from always block for signal wr_en[3:0], probably caused by a missing assignment in an if or case stmt</font>
150
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190196158> | Input port bit <31> of addr_i[31:0] is unused</font>
151
 
152
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190196158> | Input port bit <30> of addr_i[31:0] is unused</font>
153
 
154
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190196158> | Input port bit <29> of addr_i[31:0] is unused</font>
155
 
156
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190196158> | Input port bit <28> of addr_i[31:0] is unused</font>
157
 
158
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190196158> | Input port bit <27> of addr_i[31:0] is unused</font>
159
 
160
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190196158> | Input port bit <26> of addr_i[31:0] is unused</font>
161
 
162
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190196158> | Input port bit <25> of addr_i[31:0] is unused</font>
163
 
164
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190196158> | Input port bit <24> of addr_i[31:0] is unused</font>
165
 
166
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190196158> | Input port bit <23> of addr_i[31:0] is unused</font>
167
 
168
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190196158> | Input port bit <22> of addr_i[31:0] is unused</font>
169
 
170
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190196158> | Input port bit <21> of addr_i[31:0] is unused</font>
171
 
172
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190196158> | Input port bit <20> of addr_i[31:0] is unused</font>
173
 
174
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190196158> | Input port bit <19> of addr_i[31:0] is unused</font>
175
 
176
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190196158> | Input port bit <18> of addr_i[31:0] is unused</font>
177
 
178
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190196158> | Input port bit <17> of addr_i[31:0] is unused</font>
179
 
180
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190196158> | Input port bit <16> of addr_i[31:0] is unused</font>
181
 
182
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190196158> | Input port bit <15> of addr_i[31:0] is unused</font>
183
 
184
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190196158> | Input port bit <14> of addr_i[31:0] is unused</font>
185
 
186
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190196158> | Input port bit <13> of addr_i[31:0] is unused</font>
187
 
188
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190196158> | Input port bit <12> of addr_i[31:0] is unused</font>
189
 
190
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190196158> | Input port bit <11> of addr_i[31:0] is unused</font>
191
 
192
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190196158> | Input port bit <10> of addr_i[31:0] is unused</font>
193
 
194
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190196158> | Input port bit <9> of addr_i[31:0] is unused</font>
195
 
196
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190196158> | Input port bit <8> of addr_i[31:0] is unused</font>
197
 
198
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190196158> | Input port bit <7> of addr_i[31:0] is unused</font>
199
 
200
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190196158> | Input port bit <6> of addr_i[31:0] is unused</font>
201
 
202
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190196158> | Input port bit <5> of addr_i[31:0] is unused</font>
203
 
204
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190196158> | Input port bit <4> of addr_i[31:0] is unused</font>
205
 
206
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190196158> | Input port bit <3> of addr_i[31:0] is unused</font>
207
 
208
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190196158> | Input port bit <2> of addr_i[31:0] is unused</font>
209
 
210
@N: : <a href="f:\a\rtl\verilog\mem_module.v:199:7:199:18:@N::@XP_MSG">mem_module.v(199)</a><!@TM:1190196158> | Synthesizing module mem_din_ctl
211
 
212
@N: : <a href="f:\a\rtl\verilog\mem_module.v:130:7:130:19:@N::@XP_MSG">mem_module.v(130)</a><!@TM:1190196158> | Synthesizing module mem_dout_ctl
213
 
214
<font color=#A52A2A>@W:<a href="@W:CL118:@XP_HELP">CL118</a> : <a href="f:\a\rtl\verilog\mem_module.v:161:4:161:8:@W:CL118:@XP_MSG">mem_module.v(161)</a><!@TM:1190196158> | Latch generated from always block for signal dout[31:0], probably caused by a missing assignment in an if or case stmt</font>
215
@N: : <a href="f:\a\rtl\verilog\mem_module.v:4:7:4:17:@N::@XP_MSG">mem_module.v(4)</a><!@TM:1190196158> | Synthesizing module mem_module
216
 
217
@N: : <a href="f:\a\rtl\verilog\tools.v:3:7:3:14:@N::@XP_MSG">tools.v(3)</a><!@TM:1190196158> | Synthesizing module cal_cpi
218
 
219
@N: : <a href="f:\a\rtl\verilog\ctl_fsm.v:2:7:2:14:@N::@XP_MSG">ctl_fsm.v(2)</a><!@TM:1190196158> | Synthesizing module ctl_FSM
220
 
221
<font color=#A52A2A>@W:<a href="@W:CL118:@XP_HELP">CL118</a> : <a href="f:\a\rtl\verilog\ctl_fsm.v:58:8:58:12:@W:CL118:@XP_MSG">ctl_fsm.v(58)</a><!@TM:1190196158> | Latch generated from always block for signal zz_is_nop, probably caused by a missing assignment in an if or case stmt</font>
222
<font color=#A52A2A>@W:<a href="@W:CL113:@XP_HELP">CL113</a> : <a href="f:\a\rtl\verilog\ctl_fsm.v:58:8:58:12:@W:CL113:@XP_MSG">ctl_fsm.v(58)</a><!@TM:1190196158> | Feedback mux created for signal iack.</font>
223
<font color=#A52A2A>@W:<a href="@W:CL118:@XP_HELP">CL118</a> : <a href="f:\a\rtl\verilog\ctl_fsm.v:58:8:58:12:@W:CL118:@XP_MSG">ctl_fsm.v(58)</a><!@TM:1190196158> | Latch generated from always block for signal iack, probably caused by a missing assignment in an if or case stmt</font>
224
<font color=#A52A2A>@W:<a href="@W:CL118:@XP_HELP">CL118</a> : <a href="f:\a\rtl\verilog\ctl_fsm.v:58:8:58:12:@W:CL118:@XP_MSG">ctl_fsm.v(58)</a><!@TM:1190196158> | Latch generated from always block for signal next_delay_counter_Sreg0[5:0], probably caused by a missing assignment in an if or case stmt</font>
225
@N:<a href="@N:CL201:@XP_HELP">CL201</a> : <a href="f:\a\rtl\verilog\ctl_fsm.v:224:4:224:10:@N:CL201:@XP_MSG">ctl_fsm.v(224)</a><!@TM:1190196158> | Trying to extract state machine for register CurrState_Sreg0
226
Extracted state machine for register CurrState_Sreg0
227
State machine has 9 reachable states with original encodings of:
228
   0000
229
   0001
230
   0010
231
   0011
232
   0100
233
   0101
234
   0110
235
   0111
236
   1000
237
@N: : <a href="f:\a\rtl\verilog\rf_components.v:50:7:50:13:@N::@XP_MSG">rf_components.v(50)</a><!@TM:1190196158> | Synthesizing module pc_gen
238
 
239
@N: : <a href="f:\a\rtl\verilog\rf_components.v:30:7:30:14:@N::@XP_MSG">rf_components.v(30)</a><!@TM:1190196158> | Synthesizing module compare
240
 
241
<font color=#A52A2A>@W:<a href="@W:CG133:@XP_HELP">CG133</a> : <a href="f:\a\rtl\verilog\rf_components.v:36:14:36:17:@W:CG133:@XP_MSG">rf_components.v(36)</a><!@TM:1190196158> | No assignment to sum</font>
242
@N: : <a href="f:\a\rtl\verilog\rf_components.v:2:7:2:10:@N::@XP_MSG">rf_components.v(2)</a><!@TM:1190196158> | Synthesizing module ext
243
 
244
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\rf_components.v:3:21:3:26:@W::@XP_MSG">rf_components.v(3)</a><!@TM:1190196158> | Input port bit <31> of ins_i[31:0] is unused</font>
245
 
246
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\rf_components.v:3:21:3:26:@W::@XP_MSG">rf_components.v(3)</a><!@TM:1190196158> | Input port bit <30> of ins_i[31:0] is unused</font>
247
 
248
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\rf_components.v:3:21:3:26:@W::@XP_MSG">rf_components.v(3)</a><!@TM:1190196158> | Input port bit <29> of ins_i[31:0] is unused</font>
249
 
250
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\rf_components.v:3:21:3:26:@W::@XP_MSG">rf_components.v(3)</a><!@TM:1190196158> | Input port bit <28> of ins_i[31:0] is unused</font>
251
 
252
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\rf_components.v:3:21:3:26:@W::@XP_MSG">rf_components.v(3)</a><!@TM:1190196158> | Input port bit <27> of ins_i[31:0] is unused</font>
253
 
254
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\rf_components.v:3:21:3:26:@W::@XP_MSG">rf_components.v(3)</a><!@TM:1190196158> | Input port bit <26> of ins_i[31:0] is unused</font>
255
 
256
@N: : <a href="f:\a\rtl\verilog\tools.v:104:7:104:22:@N::@XP_MSG">tools.v(104)</a><!@TM:1190196158> | Synthesizing module r32_reg_clr_cls
257
 
258
@N:<a href="@N:CG179:@XP_HELP">CG179</a> : <a href="f:\a\rtl\verilog\tools.v:104:167:104:172:@N:CG179:@XP_MSG">tools.v(104)</a><!@TM:1190196158> | Removing redundant assignment
259
@N: : <a href="f:\a\rtl\verilog\tools.v:30:7:30:11:@N::@XP_MSG">tools.v(30)</a><!@TM:1190196158> | Synthesizing module jack
260
 
261
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\tools.v:31:21:31:26:@W::@XP_MSG">tools.v(31)</a><!@TM:1190196158> | Input port bit <31> of ins_i[31:0] is unused</font>
262
 
263
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\tools.v:31:21:31:26:@W::@XP_MSG">tools.v(31)</a><!@TM:1190196158> | Input port bit <30> of ins_i[31:0] is unused</font>
264
 
265
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\tools.v:31:21:31:26:@W::@XP_MSG">tools.v(31)</a><!@TM:1190196158> | Input port bit <29> of ins_i[31:0] is unused</font>
266
 
267
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\tools.v:31:21:31:26:@W::@XP_MSG">tools.v(31)</a><!@TM:1190196158> | Input port bit <28> of ins_i[31:0] is unused</font>
268
 
269
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\tools.v:31:21:31:26:@W::@XP_MSG">tools.v(31)</a><!@TM:1190196158> | Input port bit <27> of ins_i[31:0] is unused</font>
270
 
271
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\tools.v:31:21:31:26:@W::@XP_MSG">tools.v(31)</a><!@TM:1190196158> | Input port bit <26> of ins_i[31:0] is unused</font>
272
 
273
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\tools.v:31:21:31:26:@W::@XP_MSG">tools.v(31)</a><!@TM:1190196158> | Input port bit <10> of ins_i[31:0] is unused</font>
274
 
275
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\tools.v:31:21:31:26:@W::@XP_MSG">tools.v(31)</a><!@TM:1190196158> | Input port bit <9> of ins_i[31:0] is unused</font>
276
 
277
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\tools.v:31:21:31:26:@W::@XP_MSG">tools.v(31)</a><!@TM:1190196158> | Input port bit <8> of ins_i[31:0] is unused</font>
278
 
279
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\tools.v:31:21:31:26:@W::@XP_MSG">tools.v(31)</a><!@TM:1190196158> | Input port bit <7> of ins_i[31:0] is unused</font>
280
 
281
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\tools.v:31:21:31:26:@W::@XP_MSG">tools.v(31)</a><!@TM:1190196158> | Input port bit <6> of ins_i[31:0] is unused</font>
282
 
283
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\tools.v:31:21:31:26:@W::@XP_MSG">tools.v(31)</a><!@TM:1190196158> | Input port bit <5> of ins_i[31:0] is unused</font>
284
 
285
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\tools.v:31:21:31:26:@W::@XP_MSG">tools.v(31)</a><!@TM:1190196158> | Input port bit <4> of ins_i[31:0] is unused</font>
286
 
287
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\tools.v:31:21:31:26:@W::@XP_MSG">tools.v(31)</a><!@TM:1190196158> | Input port bit <3> of ins_i[31:0] is unused</font>
288
 
289
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\tools.v:31:21:31:26:@W::@XP_MSG">tools.v(31)</a><!@TM:1190196158> | Input port bit <2> of ins_i[31:0] is unused</font>
290
 
291
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\tools.v:31:21:31:26:@W::@XP_MSG">tools.v(31)</a><!@TM:1190196158> | Input port bit <1> of ins_i[31:0] is unused</font>
292
 
293
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\tools.v:31:21:31:26:@W::@XP_MSG">tools.v(31)</a><!@TM:1190196158> | Input port bit <0> of ins_i[31:0] is unused</font>
294
 
295
@N: : <a href="f:\a\rtl\verilog\tools.v:64:7:64:13:@N::@XP_MSG">tools.v(64)</a><!@TM:1190196158> | Synthesizing module rd_sel
296
 
297
@N: : <a href="f:\a\rtl\verilog\rf_components.v:90:7:90:16:@N::@XP_MSG">rf_components.v(90)</a><!@TM:1190196158> | Synthesizing module reg_array
298
 
299
@N:<a href="@N:CL134:@XP_HELP">CL134</a> : <a href="f:\a\rtl\verilog\rf_components.v:140:4:140:10:@N:CL134:@XP_MSG">rf_components.v(140)</a><!@TM:1190196158> | Found RAM reg_bank, depth=32, width=32
300
@N:<a href="@N:CL134:@XP_HELP">CL134</a> : <a href="f:\a\rtl\verilog\rf_components.v:140:4:140:10:@N:CL134:@XP_MSG">rf_components.v(140)</a><!@TM:1190196158> | Found RAM reg_bank, depth=32, width=32
301
@N: : <a href="f:\a\rtl\verilog\forward.v:25:7:25:14:@N::@XP_MSG">forward.v(25)</a><!@TM:1190196158> | Synthesizing module fwd_mux
302
 
303
@N: : <a href="f:\a\rtl\verilog\rf_stage.v:3:7:3:15:@N::@XP_MSG">rf_stage.v(3)</a><!@TM:1190196158> | Synthesizing module rf_stage
304
 
305
<font color=#A52A2A>@W:<a href="@W:CS149:@XP_HELP">CS149</a> : <a href="f:\a\rtl\verilog\rf_stage.v:91:24:91:30:@W:CS149:@XP_MSG">rf_stage.v(91)</a><!@TM:1190196158> | Port width mismatch for port ins_no.  Formal has width 101, Actual 1</font>
306
<font color=#A52A2A>@W:<a href="@W:CS149:@XP_HELP">CS149</a> : <a href="f:\a\rtl\verilog\rf_stage.v:90:24:90:30:@W:CS149:@XP_MSG">rf_stage.v(90)</a><!@TM:1190196158> | Port width mismatch for port clk_no.  Formal has width 101, Actual 1</font>
307
<font color=#A52A2A>@W:<a href="@W:CL168:@XP_HELP">CL168</a> : <a href="f:\a\rtl\verilog\rf_stage.v:87:12:87:19:@W:CL168:@XP_MSG">rf_stage.v(87)</a><!@TM:1190196158> | Pruning instance CAL_CPI - not in use ...</font>
308
 
309
@N: : <a href="f:\a\rtl\verilog\exec_stage.v:521:7:521:16:@N::@XP_MSG">exec_stage.v(521)</a><!@TM:1190196158> | Synthesizing module muldiv_ff
310
 
311
<font color=#A52A2A>@W:<a href="@W:CL169:@XP_HELP">CL169</a> : <a href="f:\a\rtl\verilog\exec_stage.v:572:4:572:10:@W:CL169:@XP_MSG">exec_stage.v(572)</a><!@TM:1190196158> | Pruning Register LAST_CYCLE_DEAL_SECTION.eqnop2 </font>
312
 
313
<font color=#A52A2A>@W:<a href="@W:CL169:@XP_HELP">CL169</a> : <a href="f:\a\rtl\verilog\exec_stage.v:572:4:572:10:@W:CL169:@XP_MSG">exec_stage.v(572)</a><!@TM:1190196158> | Pruning Register START_SECTION.over[32:0] </font>
314
 
315
<font color=#A52A2A>@W:<a href="@W:CL169:@XP_HELP">CL169</a> : <a href="f:\a\rtl\verilog\exec_stage.v:572:4:572:10:@W:CL169:@XP_MSG">exec_stage.v(572)</a><!@TM:1190196158> | Pruning Register LAST_CYCLE_DEAL_SECTION.LAST_CYCLE_DEAL_SECTION_DEFAULT.op1s_eq_op2s </font>
316
 
317
<font color=#A52A2A>@W:<a href="@W:CL169:@XP_HELP">CL169</a> : <a href="f:\a\rtl\verilog\exec_stage.v:572:4:572:10:@W:CL169:@XP_MSG">exec_stage.v(572)</a><!@TM:1190196158> | Pruning Register LAST_CYCLE_DEAL_SECTION.eqz </font>
318
 
319
<font color=#A52A2A>@W:<a href="@W:CL169:@XP_HELP">CL169</a> : <a href="f:\a\rtl\verilog\exec_stage.v:572:4:572:10:@W:CL169:@XP_MSG">exec_stage.v(572)</a><!@TM:1190196158> | Pruning Register LAST_CYCLE_DEAL_SECTION.LAST_CYCLE_DEAL_SECTION_DEFAULT.op1s_eq_h64 </font>
320
 
321
<font color=#A52A2A>@W:<a href="@W:CL169:@XP_HELP">CL169</a> : <a href="f:\a\rtl\verilog\exec_stage.v:572:4:572:10:@W:CL169:@XP_MSG">exec_stage.v(572)</a><!@TM:1190196158> | Pruning Register LAST_CYCLE_DEAL_SECTION.eqop2 </font>
322
 
323
@N: : <a href="f:\a\rtl\verilog\exec_stage.v:233:7:233:10:@N::@XP_MSG">exec_stage.v(233)</a><!@TM:1190196158> | Synthesizing module alu
324
 
325
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:238:16:238:17:@W::@XP_MSG">exec_stage.v(238)</a><!@TM:1190196158> | No assignment to wire c</font>
326
 
327
@N: : <a href="f:\a\rtl\verilog\exec_stage.v:266:4:266:15:@N::@XP_MSG">exec_stage.v(266)</a><!@TM:1190196158> | Synthesizing module shifter_tak
328
 
329
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190196158> | Input port bit <31> of shift_amount[31:0] is unused</font>
330
 
331
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190196158> | Input port bit <30> of shift_amount[31:0] is unused</font>
332
 
333
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190196158> | Input port bit <29> of shift_amount[31:0] is unused</font>
334
 
335
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190196158> | Input port bit <28> of shift_amount[31:0] is unused</font>
336
 
337
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190196158> | Input port bit <27> of shift_amount[31:0] is unused</font>
338
 
339
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190196158> | Input port bit <26> of shift_amount[31:0] is unused</font>
340
 
341
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190196158> | Input port bit <25> of shift_amount[31:0] is unused</font>
342
 
343
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190196158> | Input port bit <24> of shift_amount[31:0] is unused</font>
344
 
345
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190196158> | Input port bit <23> of shift_amount[31:0] is unused</font>
346
 
347
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190196158> | Input port bit <22> of shift_amount[31:0] is unused</font>
348
 
349
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190196158> | Input port bit <21> of shift_amount[31:0] is unused</font>
350
 
351
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190196158> | Input port bit <20> of shift_amount[31:0] is unused</font>
352
 
353
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190196158> | Input port bit <19> of shift_amount[31:0] is unused</font>
354
 
355
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190196158> | Input port bit <18> of shift_amount[31:0] is unused</font>
356
 
357
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190196158> | Input port bit <17> of shift_amount[31:0] is unused</font>
358
 
359
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190196158> | Input port bit <16> of shift_amount[31:0] is unused</font>
360
 
361
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190196158> | Input port bit <15> of shift_amount[31:0] is unused</font>
362
 
363
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190196158> | Input port bit <14> of shift_amount[31:0] is unused</font>
364
 
365
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190196158> | Input port bit <13> of shift_amount[31:0] is unused</font>
366
 
367
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190196158> | Input port bit <12> of shift_amount[31:0] is unused</font>
368
 
369
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190196158> | Input port bit <11> of shift_amount[31:0] is unused</font>
370
 
371
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190196158> | Input port bit <10> of shift_amount[31:0] is unused</font>
372
 
373
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190196158> | Input port bit <9> of shift_amount[31:0] is unused</font>
374
 
375
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190196158> | Input port bit <8> of shift_amount[31:0] is unused</font>
376
 
377
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190196158> | Input port bit <7> of shift_amount[31:0] is unused</font>
378
 
379
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190196158> | Input port bit <6> of shift_amount[31:0] is unused</font>
380
 
381
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190196158> | Input port bit <5> of shift_amount[31:0] is unused</font>
382
 
383
@N: : <a href="f:\a\rtl\verilog\exec_stage.v:138:7:138:14:@N::@XP_MSG">exec_stage.v(138)</a><!@TM:1190196158> | Synthesizing module big_alu
384
 
385
@N: : <a href="f:\a\rtl\verilog\tools.v:22:7:22:12:@N::@XP_MSG">tools.v(22)</a><!@TM:1190196158> | Synthesizing module add32
386
 
387
@N: : <a href="f:\a\rtl\verilog\exec_stage.v:188:7:188:15:@N::@XP_MSG">exec_stage.v(188)</a><!@TM:1190196158> | Synthesizing module alu_muxa
388
 
389
@N: : <a href="f:\a\rtl\verilog\exec_stage.v:212:7:212:15:@N::@XP_MSG">exec_stage.v(212)</a><!@TM:1190196158> | Synthesizing module alu_muxb
390
 
391
@N: : <a href="f:\a\rtl\verilog\tools.v:150:7:150:14:@N::@XP_MSG">tools.v(150)</a><!@TM:1190196158> | Synthesizing module r32_reg
392
 
393
@N: : <a href="f:\a\rtl\verilog\tools.v:173:7:173:18:@N::@XP_MSG">tools.v(173)</a><!@TM:1190196158> | Synthesizing module r32_reg_cls
394
 
395
@N:<a href="@N:CG179:@XP_HELP">CG179</a> : <a href="f:\a\rtl\verilog\tools.v:173:132:173:137:@N:CG179:@XP_MSG">tools.v(173)</a><!@TM:1190196158> | Removing redundant assignment
396
@N: : <a href="f:\a\rtl\verilog\exec_stage.v:3:7:3:17:@N::@XP_MSG">exec_stage.v(3)</a><!@TM:1190196158> | Synthesizing module exec_stage
397
 
398
@N: : <a href="f:\a\rtl\verilog\tools.v:54:7:54:11:@N::@XP_MSG">tools.v(54)</a><!@TM:1190196158> | Synthesizing module or32
399
 
400
@N: : <a href="f:\a\rtl\verilog\decode_pipe.v:2:7:2:14:@N::@XP_MSG">decode_pipe.v(2)</a><!@TM:1190196158> | Synthesizing module decoder
401
 
402
<font color=#A52A2A>@W:<a href="@W:CL118:@XP_HELP">CL118</a> : <a href="f:\a\rtl\verilog\decode_pipe.v:31:8:31:12:@W:CL118:@XP_MSG">decode_pipe.v(31)</a><!@TM:1190196158> | Latch generated from always block for signal alu_func[4:0], probably caused by a missing assignment in an if or case stmt</font>
403
<font color=#A52A2A>@W:<a href="@W:CL118:@XP_HELP">CL118</a> : <a href="f:\a\rtl\verilog\decode_pipe.v:31:8:31:12:@W:CL118:@XP_MSG">decode_pipe.v(31)</a><!@TM:1190196158> | Latch generated from always block for signal pc_gen_ctl[2:0], probably caused by a missing assignment in an if or case stmt</font>
404
<font color=#A52A2A>@W:<a href="@W:CL118:@XP_HELP">CL118</a> : <a href="f:\a\rtl\verilog\decode_pipe.v:31:8:31:12:@W:CL118:@XP_MSG">decode_pipe.v(31)</a><!@TM:1190196158> | Latch generated from always block for signal fsm_dly[2:0], probably caused by a missing assignment in an if or case stmt</font>
405
<font color=#A52A2A>@W:<a href="@W:CL118:@XP_HELP">CL118</a> : <a href="f:\a\rtl\verilog\decode_pipe.v:31:8:31:12:@W:CL118:@XP_MSG">decode_pipe.v(31)</a><!@TM:1190196158> | Latch generated from always block for signal ext_ctl[2:0], probably caused by a missing assignment in an if or case stmt</font>
406
<font color=#A52A2A>@W:<a href="@W:CL118:@XP_HELP">CL118</a> : <a href="f:\a\rtl\verilog\decode_pipe.v:31:8:31:12:@W:CL118:@XP_MSG">decode_pipe.v(31)</a><!@TM:1190196158> | Latch generated from always block for signal rd_sel[1:0], probably caused by a missing assignment in an if or case stmt</font>
407
<font color=#A52A2A>@W:<a href="@W:CL118:@XP_HELP">CL118</a> : <a href="f:\a\rtl\verilog\decode_pipe.v:31:8:31:12:@W:CL118:@XP_MSG">decode_pipe.v(31)</a><!@TM:1190196158> | Latch generated from always block for signal muxb_ctl[1:0], probably caused by a missing assignment in an if or case stmt</font>
408
<font color=#A52A2A>@W:<a href="@W:CL118:@XP_HELP">CL118</a> : <a href="f:\a\rtl\verilog\decode_pipe.v:31:8:31:12:@W:CL118:@XP_MSG">decode_pipe.v(31)</a><!@TM:1190196158> | Latch generated from always block for signal muxa_ctl[1:0], probably caused by a missing assignment in an if or case stmt</font>
409
<font color=#A52A2A>@W:<a href="@W:CL118:@XP_HELP">CL118</a> : <a href="f:\a\rtl\verilog\decode_pipe.v:31:8:31:12:@W:CL118:@XP_MSG">decode_pipe.v(31)</a><!@TM:1190196158> | Latch generated from always block for signal alu_we[0], probably caused by a missing assignment in an if or case stmt</font>
410
<font color=#A52A2A>@W:<a href="@W:CL118:@XP_HELP">CL118</a> : <a href="f:\a\rtl\verilog\decode_pipe.v:31:8:31:12:@W:CL118:@XP_MSG">decode_pipe.v(31)</a><!@TM:1190196158> | Latch generated from always block for signal dmem_ctl[3:0], probably caused by a missing assignment in an if or case stmt</font>
411
<font color=#A52A2A>@W:<a href="@W:CL118:@XP_HELP">CL118</a> : <a href="f:\a\rtl\verilog\decode_pipe.v:31:8:31:12:@W:CL118:@XP_MSG">decode_pipe.v(31)</a><!@TM:1190196158> | Latch generated from always block for signal cmp_ctl[2:0], probably caused by a missing assignment in an if or case stmt</font>
412
<font color=#A52A2A>@W:<a href="@W:CL118:@XP_HELP">CL118</a> : <a href="f:\a\rtl\verilog\decode_pipe.v:31:8:31:12:@W:CL118:@XP_MSG">decode_pipe.v(31)</a><!@TM:1190196158> | Latch generated from always block for signal wb_we[0], probably caused by a missing assignment in an if or case stmt</font>
413
<font color=#A52A2A>@W:<a href="@W:CL118:@XP_HELP">CL118</a> : <a href="f:\a\rtl\verilog\decode_pipe.v:31:8:31:12:@W:CL118:@XP_MSG">decode_pipe.v(31)</a><!@TM:1190196158> | Latch generated from always block for signal wb_mux[0], probably caused by a missing assignment in an if or case stmt</font>
414
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\decode_pipe.v:3:20:3:25:@W::@XP_MSG">decode_pipe.v(3)</a><!@TM:1190196158> | Input port bit <15> of ins_i[31:0] is unused</font>
415
 
416
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\decode_pipe.v:3:20:3:25:@W::@XP_MSG">decode_pipe.v(3)</a><!@TM:1190196158> | Input port bit <14> of ins_i[31:0] is unused</font>
417
 
418
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\decode_pipe.v:3:20:3:25:@W::@XP_MSG">decode_pipe.v(3)</a><!@TM:1190196158> | Input port bit <13> of ins_i[31:0] is unused</font>
419
 
420
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\decode_pipe.v:3:20:3:25:@W::@XP_MSG">decode_pipe.v(3)</a><!@TM:1190196158> | Input port bit <12> of ins_i[31:0] is unused</font>
421
 
422
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\decode_pipe.v:3:20:3:25:@W::@XP_MSG">decode_pipe.v(3)</a><!@TM:1190196158> | Input port bit <11> of ins_i[31:0] is unused</font>
423
 
424
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\decode_pipe.v:3:20:3:25:@W::@XP_MSG">decode_pipe.v(3)</a><!@TM:1190196158> | Input port bit <10> of ins_i[31:0] is unused</font>
425
 
426
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\decode_pipe.v:3:20:3:25:@W::@XP_MSG">decode_pipe.v(3)</a><!@TM:1190196158> | Input port bit <9> of ins_i[31:0] is unused</font>
427
 
428
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\decode_pipe.v:3:20:3:25:@W::@XP_MSG">decode_pipe.v(3)</a><!@TM:1190196158> | Input port bit <8> of ins_i[31:0] is unused</font>
429
 
430
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\decode_pipe.v:3:20:3:25:@W::@XP_MSG">decode_pipe.v(3)</a><!@TM:1190196158> | Input port bit <7> of ins_i[31:0] is unused</font>
431
 
432
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\decode_pipe.v:3:20:3:25:@W::@XP_MSG">decode_pipe.v(3)</a><!@TM:1190196158> | Input port bit <6> of ins_i[31:0] is unused</font>
433
 
434
@N: : <a href="f:\a\rtl\verilog\tools.v:90:7:90:27:@N::@XP_MSG">tools.v(90)</a><!@TM:1190196158> | Synthesizing module muxb_ctl_reg_clr_cls
435
 
436
@N:<a href="@N:CG179:@XP_HELP">CG179</a> : <a href="f:\a\rtl\verilog\tools.v:90:202:90:212:@N:CG179:@XP_MSG">tools.v(90)</a><!@TM:1190196158> | Removing redundant assignment
437
@N: : <a href="f:\a\rtl\verilog\tools.v:94:7:94:29:@N::@XP_MSG">tools.v(94)</a><!@TM:1190196158> | Synthesizing module wb_mux_ctl_reg_clr_cls
438
 
439
@N:<a href="@N:CG179:@XP_HELP">CG179</a> : <a href="f:\a\rtl\verilog\tools.v:94:216:94:228:@N:CG179:@XP_MSG">tools.v(94)</a><!@TM:1190196158> | Removing redundant assignment
440
@N: : <a href="f:\a\rtl\verilog\tools.v:95:7:95:24:@N::@XP_MSG">tools.v(95)</a><!@TM:1190196158> | Synthesizing module wb_we_reg_clr_cls
441
 
442
@N:<a href="@N:CG179:@XP_HELP">CG179</a> : <a href="f:\a\rtl\verilog\tools.v:95:181:95:188:@N:CG179:@XP_MSG">tools.v(95)</a><!@TM:1190196158> | Removing redundant assignment
443
@N: : <a href="f:\a\rtl\verilog\tools.v:141:7:141:16:@N::@XP_MSG">tools.v(141)</a><!@TM:1190196158> | Synthesizing module wb_we_reg
444
 
445
@N: : <a href="f:\a\rtl\verilog\tools.v:117:7:117:25:@N::@XP_MSG">tools.v(117)</a><!@TM:1190196158> | Synthesizing module wb_mux_ctl_reg_clr
446
 
447
@N: : <a href="f:\a\rtl\verilog\tools.v:113:7:113:23:@N::@XP_MSG">tools.v(113)</a><!@TM:1190196158> | Synthesizing module muxb_ctl_reg_clr
448
 
449
@N: : <a href="f:\a\rtl\verilog\tools.v:116:7:116:23:@N::@XP_MSG">tools.v(116)</a><!@TM:1190196158> | Synthesizing module dmem_ctl_reg_clr
450
 
451
@N: : <a href="f:\a\rtl\verilog\tools.v:114:7:114:23:@N::@XP_MSG">tools.v(114)</a><!@TM:1190196158> | Synthesizing module alu_func_reg_clr
452
 
453
@N: : <a href="f:\a\rtl\verilog\tools.v:112:7:112:23:@N::@XP_MSG">tools.v(112)</a><!@TM:1190196158> | Synthesizing module muxa_ctl_reg_clr
454
 
455
@N: : <a href="f:\a\rtl\verilog\tools.v:140:7:140:21:@N::@XP_MSG">tools.v(140)</a><!@TM:1190196158> | Synthesizing module wb_mux_ctl_reg
456
 
457
@N: : <a href="f:\a\rtl\verilog\tools.v:118:7:118:20:@N::@XP_MSG">tools.v(118)</a><!@TM:1190196158> | Synthesizing module wb_we_reg_clr
458
 
459
@N: : <a href="f:\a\rtl\verilog\tools.v:86:7:86:26:@N::@XP_MSG">tools.v(86)</a><!@TM:1190196158> | Synthesizing module cmp_ctl_reg_clr_cls
460
 
461
@N:<a href="@N:CG179:@XP_HELP">CG179</a> : <a href="f:\a\rtl\verilog\tools.v:86:195:86:204:@N:CG179:@XP_MSG">tools.v(86)</a><!@TM:1190196158> | Removing redundant assignment
462
@N: : <a href="f:\a\rtl\verilog\tools.v:115:7:115:21:@N::@XP_MSG">tools.v(115)</a><!@TM:1190196158> | Synthesizing module alu_we_reg_clr
463
 
464
@N: : <a href="f:\a\rtl\verilog\tools.v:91:7:91:27:@N::@XP_MSG">tools.v(91)</a><!@TM:1190196158> | Synthesizing module alu_func_reg_clr_cls
465
 
466
@N:<a href="@N:CG179:@XP_HELP">CG179</a> : <a href="f:\a\rtl\verilog\tools.v:91:202:91:212:@N:CG179:@XP_MSG">tools.v(91)</a><!@TM:1190196158> | Removing redundant assignment
467
@N: : <a href="f:\a\rtl\verilog\tools.v:93:7:93:27:@N::@XP_MSG">tools.v(93)</a><!@TM:1190196158> | Synthesizing module dmem_ctl_reg_clr_cls
468
 
469
@N:<a href="@N:CG179:@XP_HELP">CG179</a> : <a href="f:\a\rtl\verilog\tools.v:93:202:93:212:@N:CG179:@XP_MSG">tools.v(93)</a><!@TM:1190196158> | Removing redundant assignment
470
@N: : <a href="f:\a\rtl\verilog\tools.v:84:7:84:26:@N::@XP_MSG">tools.v(84)</a><!@TM:1190196158> | Synthesizing module ext_ctl_reg_clr_cls
471
 
472
@N:<a href="@N:CG179:@XP_HELP">CG179</a> : <a href="f:\a\rtl\verilog\tools.v:84:195:84:204:@N:CG179:@XP_MSG">tools.v(84)</a><!@TM:1190196158> | Removing redundant assignment
473
@N: : <a href="f:\a\rtl\verilog\tools.v:85:7:85:25:@N::@XP_MSG">tools.v(85)</a><!@TM:1190196158> | Synthesizing module rd_sel_reg_clr_cls
474
 
475
@N:<a href="@N:CG179:@XP_HELP">CG179</a> : <a href="f:\a\rtl\verilog\tools.v:85:188:85:196:@N:CG179:@XP_MSG">tools.v(85)</a><!@TM:1190196158> | Removing redundant assignment
476
@N: : <a href="f:\a\rtl\verilog\tools.v:92:7:92:25:@N::@XP_MSG">tools.v(92)</a><!@TM:1190196158> | Synthesizing module alu_we_reg_clr_cls
477
 
478
@N:<a href="@N:CG179:@XP_HELP">CG179</a> : <a href="f:\a\rtl\verilog\tools.v:92:188:92:196:@N:CG179:@XP_MSG">tools.v(92)</a><!@TM:1190196158> | Removing redundant assignment
479
@N: : <a href="f:\a\rtl\verilog\tools.v:89:7:89:27:@N::@XP_MSG">tools.v(89)</a><!@TM:1190196158> | Synthesizing module muxa_ctl_reg_clr_cls
480
 
481
@N:<a href="@N:CG179:@XP_HELP">CG179</a> : <a href="f:\a\rtl\verilog\tools.v:89:202:89:212:@N:CG179:@XP_MSG">tools.v(89)</a><!@TM:1190196158> | Removing redundant assignment
482
@N: : <a href="f:\a\rtl\verilog\tools.v:87:7:87:29:@N::@XP_MSG">tools.v(87)</a><!@TM:1190196158> | Synthesizing module pc_gen_ctl_reg_clr_cls
483
 
484
@N:<a href="@N:CG179:@XP_HELP">CG179</a> : <a href="f:\a\rtl\verilog\tools.v:87:216:87:228:@N:CG179:@XP_MSG">tools.v(87)</a><!@TM:1190196158> | Removing redundant assignment
485
@N: : <a href="f:\a\rtl\verilog\tools.v:139:7:139:19:@N::@XP_MSG">tools.v(139)</a><!@TM:1190196158> | Synthesizing module dmem_ctl_reg
486
 
487
@N: : <a href="f:\a\rtl\verilog\decode_pipe.v:1090:7:1090:20:@N::@XP_MSG">decode_pipe.v(1090)</a><!@TM:1190196158> | Synthesizing module pipelinedregs
488
 
489
@N: : <a href="f:\a\rtl\verilog\decode_pipe.v:1419:7:1419:18:@N::@XP_MSG">decode_pipe.v(1419)</a><!@TM:1190196158> | Synthesizing module decode_pipe
490
 
491
@N: : <a href="f:\a\rtl\verilog\forward.v:12:7:12:19:@N::@XP_MSG">forward.v(12)</a><!@TM:1190196158> | Synthesizing module forward_node
492
 
493
@N: : <a href="f:\a\rtl\verilog\forward.v:4:7:4:16:@N::@XP_MSG">forward.v(4)</a><!@TM:1190196158> | Synthesizing module fw_latch5
494
 
495
@N: : <a href="f:\a\rtl\verilog\forward.v:41:7:41:14:@N::@XP_MSG">forward.v(41)</a><!@TM:1190196158> | Synthesizing module forward
496
 
497
@N: : <a href="f:\a\rtl\verilog\tools.v:149:7:149:13:@N::@XP_MSG">tools.v(149)</a><!@TM:1190196158> | Synthesizing module r5_reg
498
 
499
@N: : <a href="f:\a\rtl\verilog\tools.v:43:7:43:13:@N::@XP_MSG">tools.v(43)</a><!@TM:1190196158> | Synthesizing module wb_mux
500
 
501
@N: : <a href="f:\a\rtl\verilog\mips_core.v:3:7:3:16:@N::@XP_MSG">mips_core.v(3)</a><!@TM:1190196158> | Synthesizing module mips_core
502
 
503
@N: : <a href="f:\a\rtl\verilog\mips_uart.v:210:7:210:16:@N::@XP_MSG">mips_uart.v(210)</a><!@TM:1190196158> | Synthesizing module uart_read
504
 
505
@N:<a href="@N:CL201:@XP_HELP">CL201</a> : <a href="f:\a\rtl\verilog\mips_uart.v:274:4:274:10:@N:CL201:@XP_MSG">mips_uart.v(274)</a><!@TM:1190196158> | Trying to extract state machine for register ua_state
506
Extracted state machine for register ua_state
507
State machine has 5 reachable states with original encodings of:
508
   000
509
   001
510
   010
511
   011
512
   100
513
@N: : <a href="f:\a\rtl\verilog\mips_uart.v:3:7:3:12:@N::@XP_MSG">mips_uart.v(3)</a><!@TM:1190196158> | Synthesizing module rxd_d
514
 
515
<a name=error34><font color=red>@E:<a href="@E:CG106:@XP_HELP">CG106</a> : <a href="f:\a\rtl\verilog\mips_uart.v:114:21:114:25:@E:CG106:@XP_MSG">mips_uart.v(114)</a><!@TM:1190196158> | Reference to undefined module fifo512_cyclone</font>
516
@N: : <a href="f:\a\rtl\verilog\mips_uart.v:70:7:70:17:@N::@XP_MSG">mips_uart.v(70)</a><!@TM:1190196158> | Synthesizing module uart_write
517
 
518
<font color=#A52A2A>@W:<a href="@W:CG141:@XP_HELP">CG141</a> : <a href="f:\a\rtl\verilog\mips_uart.v:114:21:114:25:@W:CG141:@XP_MSG">mips_uart.v(114)</a><!@TM:1190196158> | Creating black_box for fifo512_cyclone</font>
519
Making port data a bidir
520
Making port wrreq a bidir
521
Making port rdreq a bidir
522
Making port clock a bidir
523
Making port q a bidir
524
Making port full a bidir
525
Making port empty a bidir
526
<font color=#A52A2A>@W:<a href="@W:CG133:@XP_HELP">CG133</a> : <a href="f:\a\rtl\verilog\mips_uart.v:94:9:94:21:@W:CG133:@XP_MSG">mips_uart.v(94)</a><!@TM:1190196158> | No assignment to write_done_n</font>
527
@N:<a href="@N:CL201:@XP_HELP">CL201</a> : <a href="f:\a\rtl\verilog\mips_uart.v:168:4:168:10:@N:CL201:@XP_MSG">mips_uart.v(168)</a><!@TM:1190196158> | Trying to extract state machine for register ua_state
528
Extracted state machine for register ua_state
529
State machine has 8 reachable states with original encodings of:
530
   000
531
   001
532
   010
533
   011
534
   100
535
   101
536
   110
537
   111
538
<a name=error35><font color=red>@E:<a href="@E:CL175:@XP_HELP">CL175</a> : <a href="f:\a\rtl\verilog\mips_uart.v:80:17:80:29:@E:CL175:@XP_MSG">mips_uart.v(80)</a><!@TM:1190196158> | Multiple non-tristate drivers for net read_request in uart_write</font>
539
@N: : <a href="f:\a\rtl\verilog\mips_uart.v:12:7:12:12:@N::@XP_MSG">mips_uart.v(12)</a><!@TM:1190196158> | Synthesizing module uart0
540
 
541
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mips_uart.v:38:9:38:18:@W::@XP_MSG">mips_uart.v(38)</a><!@TM:1190196158> | No assignment to wire w_rxd_clr</font>
542
 
543
@N: : <a href="f:\a\rtl\verilog\dvc.v:52:7:52:17:@N::@XP_MSG">dvc.v(52)</a><!@TM:1190196158> | Synthesizing module seg7led_cv
544
 
545
@N: : <a href="f:\a\rtl\verilog\dvc.v:43:7:43:12:@N::@XP_MSG">dvc.v(43)</a><!@TM:1190196158> | Synthesizing module tmr_d
546
 
547
@N: : <a href="f:\a\rtl\verilog\dvc.v:3:7:3:11:@N::@XP_MSG">dvc.v(3)</a><!@TM:1190196158> | Synthesizing module tmr0
548
 
549
@N: : <a href="f:\a\rtl\verilog\mips_dvc.v:3:7:3:15:@N::@XP_MSG">mips_dvc.v(3)</a><!@TM:1190196158> | Synthesizing module mips_dvc
550
 
551
@N: : <a href="f:\a\rtl\verilog\mips_sys.v:4:7:4:15:@N::@XP_MSG">mips_sys.v(4)</a><!@TM:1190196158> | Synthesizing module mips_sys
552
 
553
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mips_sys.v:78:16:78:25:@W::@XP_MSG">mips_sys.v(78)</a><!@TM:1190196158> | No assignment to wire data2core</font>
554
 
555
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mips_sys.v:79:16:79:24:@W::@XP_MSG">mips_sys.v(79)</a><!@TM:1190196158> | No assignment to wire data2mem</font>
556
 
557
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mips_sys.v:80:16:80:24:@W::@XP_MSG">mips_sys.v(80)</a><!@TM:1190196158> | No assignment to wire ins2core</font>
558
 
559
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mips_sys.v:81:16:81:24:@W::@XP_MSG">mips_sys.v(81)</a><!@TM:1190196158> | No assignment to wire mem_Addr</font>
560
 
561
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mips_sys.v:82:16:82:18:@W::@XP_MSG">mips_sys.v(82)</a><!@TM:1190196158> | No assignment to wire pc</font>
562
 
563
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mips_sys.v:83:15:83:20:@W::@XP_MSG">mips_sys.v(83)</a><!@TM:1190196158> | No assignment to wire wr_en</font>
564
 
565
<a name=error36><font color=red>@E:<a href="@E:CL147:@XP_HELP">CL147</a> : <a href="f:\a\rtl\verilog\mips_uart.v:80:17:80:29:@E:CL147:@XP_MSG">mips_uart.v(80)</a><!@TM:1190196158> | Unresolved tristate drivers for net read_request in uart_write</font>
566
@END
567
Process took 0h:00m:27s realtime, 0h:00m:27s cputime
568
# Wed Sep 19 18:02:36 2007
569
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.