OpenCores
URL https://opencores.org/ocsvn/mips789/mips789/trunk

Subversion Repositories mips789

[/] [mips789/] [tags/] [arelease/] [synplify_prj/] [rev_1/] [syntmp/] [tools_srr.htm] - Blame information for rev 51

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 10 mcupro
<html>
2
<body><samp><pre>
3
<!@TC:1190193952>
4
#Program: Synplify Pro 8.1
5
#OS: Windows_NT
6
 
7
<a name=compilerReport27>$ Start of Compile
8
#Wed Sep 19 17:25:51 2007
9
 
10
Synplicity Verilog Compiler, version 3.1.0, Build 049R, built May  3 2005
11
Copyright (C) 1994-2005, Synplicity Inc.  All Rights Reserved
12
 
13
@I::"C:\Program Files\Synplicity\fpga_81\lib\altera\altera.v"
14
@I::"C:\Program Files\Synplicity\fpga_81\lib\altera\cyclone.v"
15
@I::"C:\Program Files\Synplicity\fpga_81\lib\altera\altera_mf.v"
16
@I::"C:\Program Files\Synplicity\fpga_81\lib\altera\altera_lpm.v"
17
@I::"F:\a\rtl\verilog\ctl_fsm.v"
18
@I:"F:\a\rtl\verilog\ctl_fsm.v":"F:\a\rtl\verilog\include.h"
19
@N: : <a href="f:\a\rtl\verilog\ctl_fsm.v:58:43:58:56:@N::@XP_MSG">ctl_fsm.v(58)</a><!@TM:1190193952> | Read parallel_case directive
20
@N: : <a href="f:\a\rtl\verilog\ctl_fsm.v:58:57:58:66:@N::@XP_MSG">ctl_fsm.v(58)</a><!@TM:1190193952> | Read full_case directive
21
<font color=#A52A2A>@W:<a href="@W:CG286:@XP_HELP">CG286</a> : <a href="f:\a\rtl\verilog\ctl_fsm.v:58:8:58:12:@W:CG286:@XP_MSG">ctl_fsm.v(58)</a><!@TM:1190193952> | Case statement has both a full_case directive and a default clause.  The full_case directive is ignored.</font>
22
@I::"F:\a\rtl\verilog\decode_pipe.v"
23
@I:"F:\a\rtl\verilog\decode_pipe.v":"F:\a\rtl\verilog\include.h"
24
@N: : <a href="f:\a\rtl\verilog\decode_pipe.v:31:34:31:47:@N::@XP_MSG">decode_pipe.v(31)</a><!@TM:1190193952> | Read parallel_case directive
25
@N: : <a href="f:\a\rtl\verilog\decode_pipe.v:34:45:34:58:@N::@XP_MSG">decode_pipe.v(34)</a><!@TM:1190193952> | Read parallel_case directive
26
@N: : <a href="f:\a\rtl\verilog\decode_pipe.v:533:47:533:60:@N::@XP_MSG">decode_pipe.v(533)</a><!@TM:1190193952> | Read parallel_case directive
27
@N: : <a href="f:\a\rtl\verilog\decode_pipe.v:835:49:835:62:@N::@XP_MSG">decode_pipe.v(835)</a><!@TM:1190193952> | Read parallel_case directive
28
@I::"F:\a\rtl\verilog\dvc.v"
29
@I:"F:\a\rtl\verilog\dvc.v":"F:\a\rtl\verilog\include.h"
30
@I::"F:\a\rtl\verilog\EXEC_stage.v"
31
@I:"F:\a\rtl\verilog\EXEC_stage.v":"F:\a\rtl\verilog\include.h"
32
@I:"F:\a\rtl\verilog\EXEC_stage.v":"F:\a\rtl\verilog\include.h"
33
@N: : <a href="f:\a\rtl\verilog\exec_stage.v:694:80:694:93:@N::@XP_MSG">exec_stage.v(694)</a><!@TM:1190193952> | Read parallel_case directive
34
@I::"F:\a\rtl\verilog\fifo.v"
35
@I:"F:\a\rtl\verilog\fifo.v":"F:\a\rtl\verilog\include.h"
36
@I::"F:\a\rtl\verilog\forward.v"
37
@I:"F:\a\rtl\verilog\forward.v":"F:\a\rtl\verilog\include.h"
38
@I::"F:\a\rtl\verilog\mem_module.v"
39
@I:"F:\a\rtl\verilog\mem_module.v":"F:\a\rtl\verilog\include.h"
40
@I::"F:\a\rtl\verilog\mips_core.v"
41
@I:"F:\a\rtl\verilog\mips_core.v":"F:\a\rtl\verilog\include.h"
42
@I::"F:\a\rtl\verilog\mips_dvc.v"
43
@I:"F:\a\rtl\verilog\mips_dvc.v":"F:\a\rtl\verilog\include.h"
44
@I::"F:\a\rtl\verilog\mips_sys.v"
45
@I:"F:\a\rtl\verilog\mips_sys.v":"F:\a\rtl\verilog\include.h"
46
@I::"F:\a\rtl\verilog\mips_uart.v"
47
@I:"F:\a\rtl\verilog\mips_uart.v":"F:\a\rtl\verilog\include.h"
48
@I::"F:\a\rtl\verilog\ram_module.v"
49
@I:"F:\a\rtl\verilog\ram_module.v":"F:\a\rtl\verilog\include.h"
50
@I::"F:\a\rtl\verilog\RF_components.v"
51
@I:"F:\a\rtl\verilog\RF_components.v":"F:\a\rtl\verilog\include.h"
52
@I::"F:\a\rtl\verilog\RF_stage.v"
53
@I:"F:\a\rtl\verilog\RF_stage.v":"F:\a\rtl\verilog\include.h"
54
@I::"F:\a\rtl\verilog\sim_ram.v"
55
@I::"F:\a\rtl\verilog\tools.v"
56
@I:"F:\a\rtl\verilog\tools.v":"F:\a\rtl\verilog\include.h"
57
Verilog syntax check successful!
58
 
59
Compiler output is up to date.  No re-compile necessary
60
 
61
Selecting top level module mips_sys
62
@N: : <a href="f:\a\rtl\verilog\mem_module.v:78:7:78:26:@N::@XP_MSG">mem_module.v(78)</a><!@TM:1190193952> | Synthesizing module infile_dmem_ctl_reg
63
 
64
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190193952> | Input port bit <30> of dmem_addr_i[31:0] is unused</font>
65
 
66
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190193952> | Input port bit <29> of dmem_addr_i[31:0] is unused</font>
67
 
68
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190193952> | Input port bit <28> of dmem_addr_i[31:0] is unused</font>
69
 
70
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190193952> | Input port bit <27> of dmem_addr_i[31:0] is unused</font>
71
 
72
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190193952> | Input port bit <26> of dmem_addr_i[31:0] is unused</font>
73
 
74
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190193952> | Input port bit <25> of dmem_addr_i[31:0] is unused</font>
75
 
76
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190193952> | Input port bit <24> of dmem_addr_i[31:0] is unused</font>
77
 
78
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190193952> | Input port bit <23> of dmem_addr_i[31:0] is unused</font>
79
 
80
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190193952> | Input port bit <22> of dmem_addr_i[31:0] is unused</font>
81
 
82
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190193952> | Input port bit <21> of dmem_addr_i[31:0] is unused</font>
83
 
84
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190193952> | Input port bit <20> of dmem_addr_i[31:0] is unused</font>
85
 
86
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190193952> | Input port bit <19> of dmem_addr_i[31:0] is unused</font>
87
 
88
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190193952> | Input port bit <18> of dmem_addr_i[31:0] is unused</font>
89
 
90
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190193952> | Input port bit <17> of dmem_addr_i[31:0] is unused</font>
91
 
92
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190193952> | Input port bit <16> of dmem_addr_i[31:0] is unused</font>
93
 
94
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190193952> | Input port bit <15> of dmem_addr_i[31:0] is unused</font>
95
 
96
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190193952> | Input port bit <14> of dmem_addr_i[31:0] is unused</font>
97
 
98
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190193952> | Input port bit <13> of dmem_addr_i[31:0] is unused</font>
99
 
100
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190193952> | Input port bit <12> of dmem_addr_i[31:0] is unused</font>
101
 
102
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190193952> | Input port bit <11> of dmem_addr_i[31:0] is unused</font>
103
 
104
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190193952> | Input port bit <10> of dmem_addr_i[31:0] is unused</font>
105
 
106
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190193952> | Input port bit <9> of dmem_addr_i[31:0] is unused</font>
107
 
108
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190193952> | Input port bit <8> of dmem_addr_i[31:0] is unused</font>
109
 
110
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190193952> | Input port bit <7> of dmem_addr_i[31:0] is unused</font>
111
 
112
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190193952> | Input port bit <6> of dmem_addr_i[31:0] is unused</font>
113
 
114
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190193952> | Input port bit <5> of dmem_addr_i[31:0] is unused</font>
115
 
116
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190193952> | Input port bit <4> of dmem_addr_i[31:0] is unused</font>
117
 
118
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190193952> | Input port bit <3> of dmem_addr_i[31:0] is unused</font>
119
 
120
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:81:20:81:31:@W::@XP_MSG">mem_module.v(81)</a><!@TM:1190193952> | Input port bit <2> of dmem_addr_i[31:0] is unused</font>
121
 
122
@N: : <a href="f:\a\rtl\verilog\mem_module.v:96:7:96:19:@N::@XP_MSG">mem_module.v(96)</a><!@TM:1190193952> | Synthesizing module mem_addr_ctl
123
 
124
<font color=#A52A2A>@W:<a href="@W:CL118:@XP_HELP">CL118</a> : <a href="f:\a\rtl\verilog\mem_module.v:102:4:102:8:@W:CL118:@XP_MSG">mem_module.v(102)</a><!@TM:1190193952> | Latch generated from always block for signal wr_en[3:0], probably caused by a missing assignment in an if or case stmt</font>
125
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190193952> | Input port bit <31> of addr_i[31:0] is unused</font>
126
 
127
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190193952> | Input port bit <30> of addr_i[31:0] is unused</font>
128
 
129
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190193952> | Input port bit <29> of addr_i[31:0] is unused</font>
130
 
131
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190193952> | Input port bit <28> of addr_i[31:0] is unused</font>
132
 
133
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190193952> | Input port bit <27> of addr_i[31:0] is unused</font>
134
 
135
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190193952> | Input port bit <26> of addr_i[31:0] is unused</font>
136
 
137
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190193952> | Input port bit <25> of addr_i[31:0] is unused</font>
138
 
139
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190193952> | Input port bit <24> of addr_i[31:0] is unused</font>
140
 
141
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190193952> | Input port bit <23> of addr_i[31:0] is unused</font>
142
 
143
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190193952> | Input port bit <22> of addr_i[31:0] is unused</font>
144
 
145
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190193952> | Input port bit <21> of addr_i[31:0] is unused</font>
146
 
147
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190193952> | Input port bit <20> of addr_i[31:0] is unused</font>
148
 
149
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190193952> | Input port bit <19> of addr_i[31:0] is unused</font>
150
 
151
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190193952> | Input port bit <18> of addr_i[31:0] is unused</font>
152
 
153
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190193952> | Input port bit <17> of addr_i[31:0] is unused</font>
154
 
155
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190193952> | Input port bit <16> of addr_i[31:0] is unused</font>
156
 
157
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190193952> | Input port bit <15> of addr_i[31:0] is unused</font>
158
 
159
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190193952> | Input port bit <14> of addr_i[31:0] is unused</font>
160
 
161
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190193952> | Input port bit <13> of addr_i[31:0] is unused</font>
162
 
163
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190193952> | Input port bit <12> of addr_i[31:0] is unused</font>
164
 
165
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190193952> | Input port bit <11> of addr_i[31:0] is unused</font>
166
 
167
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190193952> | Input port bit <10> of addr_i[31:0] is unused</font>
168
 
169
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190193952> | Input port bit <9> of addr_i[31:0] is unused</font>
170
 
171
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190193952> | Input port bit <8> of addr_i[31:0] is unused</font>
172
 
173
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190193952> | Input port bit <7> of addr_i[31:0] is unused</font>
174
 
175
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190193952> | Input port bit <6> of addr_i[31:0] is unused</font>
176
 
177
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190193952> | Input port bit <5> of addr_i[31:0] is unused</font>
178
 
179
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190193952> | Input port bit <4> of addr_i[31:0] is unused</font>
180
 
181
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190193952> | Input port bit <3> of addr_i[31:0] is unused</font>
182
 
183
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mem_module.v:98:20:98:26:@W::@XP_MSG">mem_module.v(98)</a><!@TM:1190193952> | Input port bit <2> of addr_i[31:0] is unused</font>
184
 
185
@N: : <a href="f:\a\rtl\verilog\mem_module.v:199:7:199:18:@N::@XP_MSG">mem_module.v(199)</a><!@TM:1190193952> | Synthesizing module mem_din_ctl
186
 
187
@N: : <a href="f:\a\rtl\verilog\mem_module.v:130:7:130:19:@N::@XP_MSG">mem_module.v(130)</a><!@TM:1190193952> | Synthesizing module mem_dout_ctl
188
 
189
<font color=#A52A2A>@W:<a href="@W:CL118:@XP_HELP">CL118</a> : <a href="f:\a\rtl\verilog\mem_module.v:161:4:161:8:@W:CL118:@XP_MSG">mem_module.v(161)</a><!@TM:1190193952> | Latch generated from always block for signal dout[31:0], probably caused by a missing assignment in an if or case stmt</font>
190
@N: : <a href="f:\a\rtl\verilog\mem_module.v:4:7:4:17:@N::@XP_MSG">mem_module.v(4)</a><!@TM:1190193952> | Synthesizing module mem_module
191
 
192
@N: : <a href="f:\a\rtl\verilog\tools.v:3:7:3:14:@N::@XP_MSG">tools.v(3)</a><!@TM:1190193952> | Synthesizing module cal_cpi
193
 
194
@N: : <a href="f:\a\rtl\verilog\ctl_fsm.v:2:7:2:14:@N::@XP_MSG">ctl_fsm.v(2)</a><!@TM:1190193952> | Synthesizing module ctl_FSM
195
 
196
<font color=#A52A2A>@W:<a href="@W:CL118:@XP_HELP">CL118</a> : <a href="f:\a\rtl\verilog\ctl_fsm.v:58:8:58:12:@W:CL118:@XP_MSG">ctl_fsm.v(58)</a><!@TM:1190193952> | Latch generated from always block for signal zz_is_nop, probably caused by a missing assignment in an if or case stmt</font>
197
<font color=#A52A2A>@W:<a href="@W:CL113:@XP_HELP">CL113</a> : <a href="f:\a\rtl\verilog\ctl_fsm.v:58:8:58:12:@W:CL113:@XP_MSG">ctl_fsm.v(58)</a><!@TM:1190193952> | Feedback mux created for signal iack.</font>
198
<font color=#A52A2A>@W:<a href="@W:CL118:@XP_HELP">CL118</a> : <a href="f:\a\rtl\verilog\ctl_fsm.v:58:8:58:12:@W:CL118:@XP_MSG">ctl_fsm.v(58)</a><!@TM:1190193952> | Latch generated from always block for signal iack, probably caused by a missing assignment in an if or case stmt</font>
199
<font color=#A52A2A>@W:<a href="@W:CL118:@XP_HELP">CL118</a> : <a href="f:\a\rtl\verilog\ctl_fsm.v:58:8:58:12:@W:CL118:@XP_MSG">ctl_fsm.v(58)</a><!@TM:1190193952> | Latch generated from always block for signal next_delay_counter_Sreg0[5:0], probably caused by a missing assignment in an if or case stmt</font>
200
@N:<a href="@N:CL201:@XP_HELP">CL201</a> : <a href="f:\a\rtl\verilog\ctl_fsm.v:224:4:224:10:@N:CL201:@XP_MSG">ctl_fsm.v(224)</a><!@TM:1190193952> | Trying to extract state machine for register CurrState_Sreg0
201
Extracted state machine for register CurrState_Sreg0
202
State machine has 9 reachable states with original encodings of:
203
   0000
204
   0001
205
   0010
206
   0011
207
   0100
208
   0101
209
   0110
210
   0111
211
   1000
212
@N: : <a href="f:\a\rtl\verilog\rf_components.v:50:7:50:13:@N::@XP_MSG">rf_components.v(50)</a><!@TM:1190193952> | Synthesizing module pc_gen
213
 
214
@N: : <a href="f:\a\rtl\verilog\rf_components.v:30:7:30:14:@N::@XP_MSG">rf_components.v(30)</a><!@TM:1190193952> | Synthesizing module compare
215
 
216
<font color=#A52A2A>@W:<a href="@W:CG133:@XP_HELP">CG133</a> : <a href="f:\a\rtl\verilog\rf_components.v:36:14:36:17:@W:CG133:@XP_MSG">rf_components.v(36)</a><!@TM:1190193952> | No assignment to sum</font>
217
@N: : <a href="f:\a\rtl\verilog\rf_components.v:2:7:2:10:@N::@XP_MSG">rf_components.v(2)</a><!@TM:1190193952> | Synthesizing module ext
218
 
219
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\rf_components.v:3:21:3:26:@W::@XP_MSG">rf_components.v(3)</a><!@TM:1190193952> | Input port bit <31> of ins_i[31:0] is unused</font>
220
 
221
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\rf_components.v:3:21:3:26:@W::@XP_MSG">rf_components.v(3)</a><!@TM:1190193952> | Input port bit <30> of ins_i[31:0] is unused</font>
222
 
223
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\rf_components.v:3:21:3:26:@W::@XP_MSG">rf_components.v(3)</a><!@TM:1190193952> | Input port bit <29> of ins_i[31:0] is unused</font>
224
 
225
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\rf_components.v:3:21:3:26:@W::@XP_MSG">rf_components.v(3)</a><!@TM:1190193952> | Input port bit <28> of ins_i[31:0] is unused</font>
226
 
227
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\rf_components.v:3:21:3:26:@W::@XP_MSG">rf_components.v(3)</a><!@TM:1190193952> | Input port bit <27> of ins_i[31:0] is unused</font>
228
 
229
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\rf_components.v:3:21:3:26:@W::@XP_MSG">rf_components.v(3)</a><!@TM:1190193952> | Input port bit <26> of ins_i[31:0] is unused</font>
230
 
231
@N: : <a href="f:\a\rtl\verilog\tools.v:104:7:104:22:@N::@XP_MSG">tools.v(104)</a><!@TM:1190193952> | Synthesizing module r32_reg_clr_cls
232
 
233
@N:<a href="@N:CG179:@XP_HELP">CG179</a> : <a href="f:\a\rtl\verilog\tools.v:104:167:104:172:@N:CG179:@XP_MSG">tools.v(104)</a><!@TM:1190193952> | Removing redundant assignment
234
@N: : <a href="f:\a\rtl\verilog\tools.v:30:7:30:11:@N::@XP_MSG">tools.v(30)</a><!@TM:1190193952> | Synthesizing module jack
235
 
236
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\tools.v:31:21:31:26:@W::@XP_MSG">tools.v(31)</a><!@TM:1190193952> | Input port bit <31> of ins_i[31:0] is unused</font>
237
 
238
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\tools.v:31:21:31:26:@W::@XP_MSG">tools.v(31)</a><!@TM:1190193952> | Input port bit <30> of ins_i[31:0] is unused</font>
239
 
240
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\tools.v:31:21:31:26:@W::@XP_MSG">tools.v(31)</a><!@TM:1190193952> | Input port bit <29> of ins_i[31:0] is unused</font>
241
 
242
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\tools.v:31:21:31:26:@W::@XP_MSG">tools.v(31)</a><!@TM:1190193952> | Input port bit <28> of ins_i[31:0] is unused</font>
243
 
244
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\tools.v:31:21:31:26:@W::@XP_MSG">tools.v(31)</a><!@TM:1190193952> | Input port bit <27> of ins_i[31:0] is unused</font>
245
 
246
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\tools.v:31:21:31:26:@W::@XP_MSG">tools.v(31)</a><!@TM:1190193952> | Input port bit <26> of ins_i[31:0] is unused</font>
247
 
248
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\tools.v:31:21:31:26:@W::@XP_MSG">tools.v(31)</a><!@TM:1190193952> | Input port bit <10> of ins_i[31:0] is unused</font>
249
 
250
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\tools.v:31:21:31:26:@W::@XP_MSG">tools.v(31)</a><!@TM:1190193952> | Input port bit <9> of ins_i[31:0] is unused</font>
251
 
252
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\tools.v:31:21:31:26:@W::@XP_MSG">tools.v(31)</a><!@TM:1190193952> | Input port bit <8> of ins_i[31:0] is unused</font>
253
 
254
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\tools.v:31:21:31:26:@W::@XP_MSG">tools.v(31)</a><!@TM:1190193952> | Input port bit <7> of ins_i[31:0] is unused</font>
255
 
256
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\tools.v:31:21:31:26:@W::@XP_MSG">tools.v(31)</a><!@TM:1190193952> | Input port bit <6> of ins_i[31:0] is unused</font>
257
 
258
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\tools.v:31:21:31:26:@W::@XP_MSG">tools.v(31)</a><!@TM:1190193952> | Input port bit <5> of ins_i[31:0] is unused</font>
259
 
260
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\tools.v:31:21:31:26:@W::@XP_MSG">tools.v(31)</a><!@TM:1190193952> | Input port bit <4> of ins_i[31:0] is unused</font>
261
 
262
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\tools.v:31:21:31:26:@W::@XP_MSG">tools.v(31)</a><!@TM:1190193952> | Input port bit <3> of ins_i[31:0] is unused</font>
263
 
264
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\tools.v:31:21:31:26:@W::@XP_MSG">tools.v(31)</a><!@TM:1190193952> | Input port bit <2> of ins_i[31:0] is unused</font>
265
 
266
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\tools.v:31:21:31:26:@W::@XP_MSG">tools.v(31)</a><!@TM:1190193952> | Input port bit <1> of ins_i[31:0] is unused</font>
267
 
268
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\tools.v:31:21:31:26:@W::@XP_MSG">tools.v(31)</a><!@TM:1190193952> | Input port bit <0> of ins_i[31:0] is unused</font>
269
 
270
@N: : <a href="f:\a\rtl\verilog\tools.v:64:7:64:13:@N::@XP_MSG">tools.v(64)</a><!@TM:1190193952> | Synthesizing module rd_sel
271
 
272
@N: : <a href="f:\a\rtl\verilog\rf_components.v:90:7:90:16:@N::@XP_MSG">rf_components.v(90)</a><!@TM:1190193952> | Synthesizing module reg_array
273
 
274
@N:<a href="@N:CL134:@XP_HELP">CL134</a> : <a href="f:\a\rtl\verilog\rf_components.v:140:4:140:10:@N:CL134:@XP_MSG">rf_components.v(140)</a><!@TM:1190193952> | Found RAM reg_bank, depth=32, width=32
275
@N:<a href="@N:CL134:@XP_HELP">CL134</a> : <a href="f:\a\rtl\verilog\rf_components.v:140:4:140:10:@N:CL134:@XP_MSG">rf_components.v(140)</a><!@TM:1190193952> | Found RAM reg_bank, depth=32, width=32
276
@N: : <a href="f:\a\rtl\verilog\forward.v:25:7:25:14:@N::@XP_MSG">forward.v(25)</a><!@TM:1190193952> | Synthesizing module fwd_mux
277
 
278
@N: : <a href="f:\a\rtl\verilog\rf_stage.v:3:7:3:15:@N::@XP_MSG">rf_stage.v(3)</a><!@TM:1190193952> | Synthesizing module rf_stage
279
 
280
<font color=#A52A2A>@W:<a href="@W:CS149:@XP_HELP">CS149</a> : <a href="f:\a\rtl\verilog\rf_stage.v:91:24:91:30:@W:CS149:@XP_MSG">rf_stage.v(91)</a><!@TM:1190193952> | Port width mismatch for port ins_no.  Formal has width 101, Actual 1</font>
281
<font color=#A52A2A>@W:<a href="@W:CS149:@XP_HELP">CS149</a> : <a href="f:\a\rtl\verilog\rf_stage.v:90:24:90:30:@W:CS149:@XP_MSG">rf_stage.v(90)</a><!@TM:1190193952> | Port width mismatch for port clk_no.  Formal has width 101, Actual 1</font>
282
<font color=#A52A2A>@W:<a href="@W:CL168:@XP_HELP">CL168</a> : <a href="f:\a\rtl\verilog\rf_stage.v:87:12:87:19:@W:CL168:@XP_MSG">rf_stage.v(87)</a><!@TM:1190193952> | Pruning instance CAL_CPI - not in use ...</font>
283
 
284
@N: : <a href="f:\a\rtl\verilog\exec_stage.v:521:7:521:16:@N::@XP_MSG">exec_stage.v(521)</a><!@TM:1190193952> | Synthesizing module muldiv_ff
285
 
286
<font color=#A52A2A>@W:<a href="@W:CL169:@XP_HELP">CL169</a> : <a href="f:\a\rtl\verilog\exec_stage.v:572:4:572:10:@W:CL169:@XP_MSG">exec_stage.v(572)</a><!@TM:1190193952> | Pruning Register LAST_CYCLE_DEAL_SECTION.eqnop2 </font>
287
 
288
<font color=#A52A2A>@W:<a href="@W:CL169:@XP_HELP">CL169</a> : <a href="f:\a\rtl\verilog\exec_stage.v:572:4:572:10:@W:CL169:@XP_MSG">exec_stage.v(572)</a><!@TM:1190193952> | Pruning Register START_SECTION.over[32:0] </font>
289
 
290
<font color=#A52A2A>@W:<a href="@W:CL169:@XP_HELP">CL169</a> : <a href="f:\a\rtl\verilog\exec_stage.v:572:4:572:10:@W:CL169:@XP_MSG">exec_stage.v(572)</a><!@TM:1190193952> | Pruning Register LAST_CYCLE_DEAL_SECTION.LAST_CYCLE_DEAL_SECTION_DEFAULT.op1s_eq_op2s </font>
291
 
292
<font color=#A52A2A>@W:<a href="@W:CL169:@XP_HELP">CL169</a> : <a href="f:\a\rtl\verilog\exec_stage.v:572:4:572:10:@W:CL169:@XP_MSG">exec_stage.v(572)</a><!@TM:1190193952> | Pruning Register LAST_CYCLE_DEAL_SECTION.eqz </font>
293
 
294
<font color=#A52A2A>@W:<a href="@W:CL169:@XP_HELP">CL169</a> : <a href="f:\a\rtl\verilog\exec_stage.v:572:4:572:10:@W:CL169:@XP_MSG">exec_stage.v(572)</a><!@TM:1190193952> | Pruning Register LAST_CYCLE_DEAL_SECTION.LAST_CYCLE_DEAL_SECTION_DEFAULT.op1s_eq_h64 </font>
295
 
296
<font color=#A52A2A>@W:<a href="@W:CL169:@XP_HELP">CL169</a> : <a href="f:\a\rtl\verilog\exec_stage.v:572:4:572:10:@W:CL169:@XP_MSG">exec_stage.v(572)</a><!@TM:1190193952> | Pruning Register LAST_CYCLE_DEAL_SECTION.eqop2 </font>
297
 
298
@N: : <a href="f:\a\rtl\verilog\exec_stage.v:233:7:233:10:@N::@XP_MSG">exec_stage.v(233)</a><!@TM:1190193952> | Synthesizing module alu
299
 
300
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:238:16:238:17:@W::@XP_MSG">exec_stage.v(238)</a><!@TM:1190193952> | No assignment to wire c</font>
301
 
302
@N: : <a href="f:\a\rtl\verilog\exec_stage.v:266:4:266:15:@N::@XP_MSG">exec_stage.v(266)</a><!@TM:1190193952> | Synthesizing module shifter_tak
303
 
304
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190193952> | Input port bit <31> of shift_amount[31:0] is unused</font>
305
 
306
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190193952> | Input port bit <30> of shift_amount[31:0] is unused</font>
307
 
308
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190193952> | Input port bit <29> of shift_amount[31:0] is unused</font>
309
 
310
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190193952> | Input port bit <28> of shift_amount[31:0] is unused</font>
311
 
312
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190193952> | Input port bit <27> of shift_amount[31:0] is unused</font>
313
 
314
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190193952> | Input port bit <26> of shift_amount[31:0] is unused</font>
315
 
316
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190193952> | Input port bit <25> of shift_amount[31:0] is unused</font>
317
 
318
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190193952> | Input port bit <24> of shift_amount[31:0] is unused</font>
319
 
320
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190193952> | Input port bit <23> of shift_amount[31:0] is unused</font>
321
 
322
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190193952> | Input port bit <22> of shift_amount[31:0] is unused</font>
323
 
324
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190193952> | Input port bit <21> of shift_amount[31:0] is unused</font>
325
 
326
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190193952> | Input port bit <20> of shift_amount[31:0] is unused</font>
327
 
328
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190193952> | Input port bit <19> of shift_amount[31:0] is unused</font>
329
 
330
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190193952> | Input port bit <18> of shift_amount[31:0] is unused</font>
331
 
332
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190193952> | Input port bit <17> of shift_amount[31:0] is unused</font>
333
 
334
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190193952> | Input port bit <16> of shift_amount[31:0] is unused</font>
335
 
336
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190193952> | Input port bit <15> of shift_amount[31:0] is unused</font>
337
 
338
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190193952> | Input port bit <14> of shift_amount[31:0] is unused</font>
339
 
340
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190193952> | Input port bit <13> of shift_amount[31:0] is unused</font>
341
 
342
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190193952> | Input port bit <12> of shift_amount[31:0] is unused</font>
343
 
344
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190193952> | Input port bit <11> of shift_amount[31:0] is unused</font>
345
 
346
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190193952> | Input port bit <10> of shift_amount[31:0] is unused</font>
347
 
348
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190193952> | Input port bit <9> of shift_amount[31:0] is unused</font>
349
 
350
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190193952> | Input port bit <8> of shift_amount[31:0] is unused</font>
351
 
352
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190193952> | Input port bit <7> of shift_amount[31:0] is unused</font>
353
 
354
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190193952> | Input port bit <6> of shift_amount[31:0] is unused</font>
355
 
356
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\exec_stage.v:270:25:270:52:@W::@XP_MSG">exec_stage.v(270)</a><!@TM:1190193952> | Input port bit <5> of shift_amount[31:0] is unused</font>
357
 
358
@N: : <a href="f:\a\rtl\verilog\exec_stage.v:138:7:138:14:@N::@XP_MSG">exec_stage.v(138)</a><!@TM:1190193952> | Synthesizing module big_alu
359
 
360
@N: : <a href="f:\a\rtl\verilog\tools.v:22:7:22:12:@N::@XP_MSG">tools.v(22)</a><!@TM:1190193952> | Synthesizing module add32
361
 
362
@N: : <a href="f:\a\rtl\verilog\exec_stage.v:188:7:188:15:@N::@XP_MSG">exec_stage.v(188)</a><!@TM:1190193952> | Synthesizing module alu_muxa
363
 
364
@N: : <a href="f:\a\rtl\verilog\exec_stage.v:212:7:212:15:@N::@XP_MSG">exec_stage.v(212)</a><!@TM:1190193952> | Synthesizing module alu_muxb
365
 
366
@N: : <a href="f:\a\rtl\verilog\tools.v:150:7:150:14:@N::@XP_MSG">tools.v(150)</a><!@TM:1190193952> | Synthesizing module r32_reg
367
 
368
@N: : <a href="f:\a\rtl\verilog\tools.v:173:7:173:18:@N::@XP_MSG">tools.v(173)</a><!@TM:1190193952> | Synthesizing module r32_reg_cls
369
 
370
@N:<a href="@N:CG179:@XP_HELP">CG179</a> : <a href="f:\a\rtl\verilog\tools.v:173:132:173:137:@N:CG179:@XP_MSG">tools.v(173)</a><!@TM:1190193952> | Removing redundant assignment
371
@N: : <a href="f:\a\rtl\verilog\exec_stage.v:3:7:3:17:@N::@XP_MSG">exec_stage.v(3)</a><!@TM:1190193952> | Synthesizing module exec_stage
372
 
373
@N: : <a href="f:\a\rtl\verilog\tools.v:54:7:54:11:@N::@XP_MSG">tools.v(54)</a><!@TM:1190193952> | Synthesizing module or32
374
 
375
@N: : <a href="f:\a\rtl\verilog\decode_pipe.v:2:7:2:14:@N::@XP_MSG">decode_pipe.v(2)</a><!@TM:1190193952> | Synthesizing module decoder
376
 
377
<font color=#A52A2A>@W:<a href="@W:CL118:@XP_HELP">CL118</a> : <a href="f:\a\rtl\verilog\decode_pipe.v:31:8:31:12:@W:CL118:@XP_MSG">decode_pipe.v(31)</a><!@TM:1190193952> | Latch generated from always block for signal alu_func[4:0], probably caused by a missing assignment in an if or case stmt</font>
378
<font color=#A52A2A>@W:<a href="@W:CL118:@XP_HELP">CL118</a> : <a href="f:\a\rtl\verilog\decode_pipe.v:31:8:31:12:@W:CL118:@XP_MSG">decode_pipe.v(31)</a><!@TM:1190193952> | Latch generated from always block for signal pc_gen_ctl[2:0], probably caused by a missing assignment in an if or case stmt</font>
379
<font color=#A52A2A>@W:<a href="@W:CL118:@XP_HELP">CL118</a> : <a href="f:\a\rtl\verilog\decode_pipe.v:31:8:31:12:@W:CL118:@XP_MSG">decode_pipe.v(31)</a><!@TM:1190193952> | Latch generated from always block for signal fsm_dly[2:0], probably caused by a missing assignment in an if or case stmt</font>
380
<font color=#A52A2A>@W:<a href="@W:CL118:@XP_HELP">CL118</a> : <a href="f:\a\rtl\verilog\decode_pipe.v:31:8:31:12:@W:CL118:@XP_MSG">decode_pipe.v(31)</a><!@TM:1190193952> | Latch generated from always block for signal ext_ctl[2:0], probably caused by a missing assignment in an if or case stmt</font>
381
<font color=#A52A2A>@W:<a href="@W:CL118:@XP_HELP">CL118</a> : <a href="f:\a\rtl\verilog\decode_pipe.v:31:8:31:12:@W:CL118:@XP_MSG">decode_pipe.v(31)</a><!@TM:1190193952> | Latch generated from always block for signal rd_sel[1:0], probably caused by a missing assignment in an if or case stmt</font>
382
<font color=#A52A2A>@W:<a href="@W:CL118:@XP_HELP">CL118</a> : <a href="f:\a\rtl\verilog\decode_pipe.v:31:8:31:12:@W:CL118:@XP_MSG">decode_pipe.v(31)</a><!@TM:1190193952> | Latch generated from always block for signal muxb_ctl[1:0], probably caused by a missing assignment in an if or case stmt</font>
383
<font color=#A52A2A>@W:<a href="@W:CL118:@XP_HELP">CL118</a> : <a href="f:\a\rtl\verilog\decode_pipe.v:31:8:31:12:@W:CL118:@XP_MSG">decode_pipe.v(31)</a><!@TM:1190193952> | Latch generated from always block for signal muxa_ctl[1:0], probably caused by a missing assignment in an if or case stmt</font>
384
<font color=#A52A2A>@W:<a href="@W:CL118:@XP_HELP">CL118</a> : <a href="f:\a\rtl\verilog\decode_pipe.v:31:8:31:12:@W:CL118:@XP_MSG">decode_pipe.v(31)</a><!@TM:1190193952> | Latch generated from always block for signal alu_we[0], probably caused by a missing assignment in an if or case stmt</font>
385
<font color=#A52A2A>@W:<a href="@W:CL118:@XP_HELP">CL118</a> : <a href="f:\a\rtl\verilog\decode_pipe.v:31:8:31:12:@W:CL118:@XP_MSG">decode_pipe.v(31)</a><!@TM:1190193952> | Latch generated from always block for signal dmem_ctl[3:0], probably caused by a missing assignment in an if or case stmt</font>
386
<font color=#A52A2A>@W:<a href="@W:CL118:@XP_HELP">CL118</a> : <a href="f:\a\rtl\verilog\decode_pipe.v:31:8:31:12:@W:CL118:@XP_MSG">decode_pipe.v(31)</a><!@TM:1190193952> | Latch generated from always block for signal cmp_ctl[2:0], probably caused by a missing assignment in an if or case stmt</font>
387
<font color=#A52A2A>@W:<a href="@W:CL118:@XP_HELP">CL118</a> : <a href="f:\a\rtl\verilog\decode_pipe.v:31:8:31:12:@W:CL118:@XP_MSG">decode_pipe.v(31)</a><!@TM:1190193952> | Latch generated from always block for signal wb_we[0], probably caused by a missing assignment in an if or case stmt</font>
388
<font color=#A52A2A>@W:<a href="@W:CL118:@XP_HELP">CL118</a> : <a href="f:\a\rtl\verilog\decode_pipe.v:31:8:31:12:@W:CL118:@XP_MSG">decode_pipe.v(31)</a><!@TM:1190193952> | Latch generated from always block for signal wb_mux[0], probably caused by a missing assignment in an if or case stmt</font>
389
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\decode_pipe.v:3:20:3:25:@W::@XP_MSG">decode_pipe.v(3)</a><!@TM:1190193952> | Input port bit <15> of ins_i[31:0] is unused</font>
390
 
391
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\decode_pipe.v:3:20:3:25:@W::@XP_MSG">decode_pipe.v(3)</a><!@TM:1190193952> | Input port bit <14> of ins_i[31:0] is unused</font>
392
 
393
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\decode_pipe.v:3:20:3:25:@W::@XP_MSG">decode_pipe.v(3)</a><!@TM:1190193952> | Input port bit <13> of ins_i[31:0] is unused</font>
394
 
395
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\decode_pipe.v:3:20:3:25:@W::@XP_MSG">decode_pipe.v(3)</a><!@TM:1190193952> | Input port bit <12> of ins_i[31:0] is unused</font>
396
 
397
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\decode_pipe.v:3:20:3:25:@W::@XP_MSG">decode_pipe.v(3)</a><!@TM:1190193952> | Input port bit <11> of ins_i[31:0] is unused</font>
398
 
399
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\decode_pipe.v:3:20:3:25:@W::@XP_MSG">decode_pipe.v(3)</a><!@TM:1190193952> | Input port bit <10> of ins_i[31:0] is unused</font>
400
 
401
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\decode_pipe.v:3:20:3:25:@W::@XP_MSG">decode_pipe.v(3)</a><!@TM:1190193952> | Input port bit <9> of ins_i[31:0] is unused</font>
402
 
403
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\decode_pipe.v:3:20:3:25:@W::@XP_MSG">decode_pipe.v(3)</a><!@TM:1190193952> | Input port bit <8> of ins_i[31:0] is unused</font>
404
 
405
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\decode_pipe.v:3:20:3:25:@W::@XP_MSG">decode_pipe.v(3)</a><!@TM:1190193952> | Input port bit <7> of ins_i[31:0] is unused</font>
406
 
407
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\decode_pipe.v:3:20:3:25:@W::@XP_MSG">decode_pipe.v(3)</a><!@TM:1190193952> | Input port bit <6> of ins_i[31:0] is unused</font>
408
 
409
@N: : <a href="f:\a\rtl\verilog\tools.v:90:7:90:27:@N::@XP_MSG">tools.v(90)</a><!@TM:1190193952> | Synthesizing module muxb_ctl_reg_clr_cls
410
 
411
@N:<a href="@N:CG179:@XP_HELP">CG179</a> : <a href="f:\a\rtl\verilog\tools.v:90:202:90:212:@N:CG179:@XP_MSG">tools.v(90)</a><!@TM:1190193952> | Removing redundant assignment
412
@N: : <a href="f:\a\rtl\verilog\tools.v:94:7:94:29:@N::@XP_MSG">tools.v(94)</a><!@TM:1190193952> | Synthesizing module wb_mux_ctl_reg_clr_cls
413
 
414
@N:<a href="@N:CG179:@XP_HELP">CG179</a> : <a href="f:\a\rtl\verilog\tools.v:94:216:94:228:@N:CG179:@XP_MSG">tools.v(94)</a><!@TM:1190193952> | Removing redundant assignment
415
@N: : <a href="f:\a\rtl\verilog\tools.v:95:7:95:24:@N::@XP_MSG">tools.v(95)</a><!@TM:1190193952> | Synthesizing module wb_we_reg_clr_cls
416
 
417
@N:<a href="@N:CG179:@XP_HELP">CG179</a> : <a href="f:\a\rtl\verilog\tools.v:95:181:95:188:@N:CG179:@XP_MSG">tools.v(95)</a><!@TM:1190193952> | Removing redundant assignment
418
@N: : <a href="f:\a\rtl\verilog\tools.v:141:7:141:16:@N::@XP_MSG">tools.v(141)</a><!@TM:1190193952> | Synthesizing module wb_we_reg
419
 
420
@N: : <a href="f:\a\rtl\verilog\tools.v:117:7:117:25:@N::@XP_MSG">tools.v(117)</a><!@TM:1190193952> | Synthesizing module wb_mux_ctl_reg_clr
421
 
422
@N: : <a href="f:\a\rtl\verilog\tools.v:113:7:113:23:@N::@XP_MSG">tools.v(113)</a><!@TM:1190193952> | Synthesizing module muxb_ctl_reg_clr
423
 
424
@N: : <a href="f:\a\rtl\verilog\tools.v:116:7:116:23:@N::@XP_MSG">tools.v(116)</a><!@TM:1190193952> | Synthesizing module dmem_ctl_reg_clr
425
 
426
@N: : <a href="f:\a\rtl\verilog\tools.v:114:7:114:23:@N::@XP_MSG">tools.v(114)</a><!@TM:1190193952> | Synthesizing module alu_func_reg_clr
427
 
428
@N: : <a href="f:\a\rtl\verilog\tools.v:112:7:112:23:@N::@XP_MSG">tools.v(112)</a><!@TM:1190193952> | Synthesizing module muxa_ctl_reg_clr
429
 
430
@N: : <a href="f:\a\rtl\verilog\tools.v:140:7:140:21:@N::@XP_MSG">tools.v(140)</a><!@TM:1190193952> | Synthesizing module wb_mux_ctl_reg
431
 
432
@N: : <a href="f:\a\rtl\verilog\tools.v:118:7:118:20:@N::@XP_MSG">tools.v(118)</a><!@TM:1190193952> | Synthesizing module wb_we_reg_clr
433
 
434
@N: : <a href="f:\a\rtl\verilog\tools.v:86:7:86:26:@N::@XP_MSG">tools.v(86)</a><!@TM:1190193952> | Synthesizing module cmp_ctl_reg_clr_cls
435
 
436
@N:<a href="@N:CG179:@XP_HELP">CG179</a> : <a href="f:\a\rtl\verilog\tools.v:86:195:86:204:@N:CG179:@XP_MSG">tools.v(86)</a><!@TM:1190193952> | Removing redundant assignment
437
@N: : <a href="f:\a\rtl\verilog\tools.v:115:7:115:21:@N::@XP_MSG">tools.v(115)</a><!@TM:1190193952> | Synthesizing module alu_we_reg_clr
438
 
439
@N: : <a href="f:\a\rtl\verilog\tools.v:91:7:91:27:@N::@XP_MSG">tools.v(91)</a><!@TM:1190193952> | Synthesizing module alu_func_reg_clr_cls
440
 
441
@N:<a href="@N:CG179:@XP_HELP">CG179</a> : <a href="f:\a\rtl\verilog\tools.v:91:202:91:212:@N:CG179:@XP_MSG">tools.v(91)</a><!@TM:1190193952> | Removing redundant assignment
442
@N: : <a href="f:\a\rtl\verilog\tools.v:93:7:93:27:@N::@XP_MSG">tools.v(93)</a><!@TM:1190193952> | Synthesizing module dmem_ctl_reg_clr_cls
443
 
444
@N:<a href="@N:CG179:@XP_HELP">CG179</a> : <a href="f:\a\rtl\verilog\tools.v:93:202:93:212:@N:CG179:@XP_MSG">tools.v(93)</a><!@TM:1190193952> | Removing redundant assignment
445
@N: : <a href="f:\a\rtl\verilog\tools.v:84:7:84:26:@N::@XP_MSG">tools.v(84)</a><!@TM:1190193952> | Synthesizing module ext_ctl_reg_clr_cls
446
 
447
@N:<a href="@N:CG179:@XP_HELP">CG179</a> : <a href="f:\a\rtl\verilog\tools.v:84:195:84:204:@N:CG179:@XP_MSG">tools.v(84)</a><!@TM:1190193952> | Removing redundant assignment
448
@N: : <a href="f:\a\rtl\verilog\tools.v:85:7:85:25:@N::@XP_MSG">tools.v(85)</a><!@TM:1190193952> | Synthesizing module rd_sel_reg_clr_cls
449
 
450
@N:<a href="@N:CG179:@XP_HELP">CG179</a> : <a href="f:\a\rtl\verilog\tools.v:85:188:85:196:@N:CG179:@XP_MSG">tools.v(85)</a><!@TM:1190193952> | Removing redundant assignment
451
@N: : <a href="f:\a\rtl\verilog\tools.v:92:7:92:25:@N::@XP_MSG">tools.v(92)</a><!@TM:1190193952> | Synthesizing module alu_we_reg_clr_cls
452
 
453
@N:<a href="@N:CG179:@XP_HELP">CG179</a> : <a href="f:\a\rtl\verilog\tools.v:92:188:92:196:@N:CG179:@XP_MSG">tools.v(92)</a><!@TM:1190193952> | Removing redundant assignment
454
@N: : <a href="f:\a\rtl\verilog\tools.v:89:7:89:27:@N::@XP_MSG">tools.v(89)</a><!@TM:1190193952> | Synthesizing module muxa_ctl_reg_clr_cls
455
 
456
@N:<a href="@N:CG179:@XP_HELP">CG179</a> : <a href="f:\a\rtl\verilog\tools.v:89:202:89:212:@N:CG179:@XP_MSG">tools.v(89)</a><!@TM:1190193952> | Removing redundant assignment
457
@N: : <a href="f:\a\rtl\verilog\tools.v:87:7:87:29:@N::@XP_MSG">tools.v(87)</a><!@TM:1190193952> | Synthesizing module pc_gen_ctl_reg_clr_cls
458
 
459
@N:<a href="@N:CG179:@XP_HELP">CG179</a> : <a href="f:\a\rtl\verilog\tools.v:87:216:87:228:@N:CG179:@XP_MSG">tools.v(87)</a><!@TM:1190193952> | Removing redundant assignment
460
@N: : <a href="f:\a\rtl\verilog\tools.v:139:7:139:19:@N::@XP_MSG">tools.v(139)</a><!@TM:1190193952> | Synthesizing module dmem_ctl_reg
461
 
462
@N: : <a href="f:\a\rtl\verilog\decode_pipe.v:1090:7:1090:20:@N::@XP_MSG">decode_pipe.v(1090)</a><!@TM:1190193952> | Synthesizing module pipelinedregs
463
 
464
@N: : <a href="f:\a\rtl\verilog\decode_pipe.v:1419:7:1419:18:@N::@XP_MSG">decode_pipe.v(1419)</a><!@TM:1190193952> | Synthesizing module decode_pipe
465
 
466
@N: : <a href="f:\a\rtl\verilog\forward.v:12:7:12:19:@N::@XP_MSG">forward.v(12)</a><!@TM:1190193952> | Synthesizing module forward_node
467
 
468
@N: : <a href="f:\a\rtl\verilog\forward.v:4:7:4:16:@N::@XP_MSG">forward.v(4)</a><!@TM:1190193952> | Synthesizing module fw_latch5
469
 
470
@N: : <a href="f:\a\rtl\verilog\forward.v:41:7:41:14:@N::@XP_MSG">forward.v(41)</a><!@TM:1190193952> | Synthesizing module forward
471
 
472
@N: : <a href="f:\a\rtl\verilog\tools.v:149:7:149:13:@N::@XP_MSG">tools.v(149)</a><!@TM:1190193952> | Synthesizing module r5_reg
473
 
474
@N: : <a href="f:\a\rtl\verilog\tools.v:43:7:43:13:@N::@XP_MSG">tools.v(43)</a><!@TM:1190193952> | Synthesizing module wb_mux
475
 
476
@N: : <a href="f:\a\rtl\verilog\mips_core.v:3:7:3:16:@N::@XP_MSG">mips_core.v(3)</a><!@TM:1190193952> | Synthesizing module mips_core
477
 
478
@N: : <a href="f:\a\rtl\verilog\mips_uart.v:210:7:210:16:@N::@XP_MSG">mips_uart.v(210)</a><!@TM:1190193952> | Synthesizing module uart_read
479
 
480
@N:<a href="@N:CL201:@XP_HELP">CL201</a> : <a href="f:\a\rtl\verilog\mips_uart.v:274:4:274:10:@N:CL201:@XP_MSG">mips_uart.v(274)</a><!@TM:1190193952> | Trying to extract state machine for register ua_state
481
Extracted state machine for register ua_state
482
State machine has 5 reachable states with original encodings of:
483
   000
484
   001
485
   010
486
   011
487
   100
488
@N: : <a href="f:\a\rtl\verilog\mips_uart.v:3:7:3:12:@N::@XP_MSG">mips_uart.v(3)</a><!@TM:1190193952> | Synthesizing module rxd_d
489
 
490
<a name=error28><font color=red>@E:<a href="@E:CG106:@XP_HELP">CG106</a> : <a href="f:\a\rtl\verilog\mips_uart.v:114:21:114:25:@E:CG106:@XP_MSG">mips_uart.v(114)</a><!@TM:1190193952> | Reference to undefined module fifo512_cyclone</font>
491
@N: : <a href="f:\a\rtl\verilog\mips_uart.v:70:7:70:17:@N::@XP_MSG">mips_uart.v(70)</a><!@TM:1190193952> | Synthesizing module uart_write
492
 
493
<font color=#A52A2A>@W:<a href="@W:CG141:@XP_HELP">CG141</a> : <a href="f:\a\rtl\verilog\mips_uart.v:114:21:114:25:@W:CG141:@XP_MSG">mips_uart.v(114)</a><!@TM:1190193952> | Creating black_box for fifo512_cyclone</font>
494
Making port data a bidir
495
Making port wrreq a bidir
496
Making port rdreq a bidir
497
Making port clock a bidir
498
Making port q a bidir
499
Making port full a bidir
500
Making port empty a bidir
501
<font color=#A52A2A>@W:<a href="@W:CG133:@XP_HELP">CG133</a> : <a href="f:\a\rtl\verilog\mips_uart.v:94:9:94:21:@W:CG133:@XP_MSG">mips_uart.v(94)</a><!@TM:1190193952> | No assignment to write_done_n</font>
502
@N:<a href="@N:CL201:@XP_HELP">CL201</a> : <a href="f:\a\rtl\verilog\mips_uart.v:168:4:168:10:@N:CL201:@XP_MSG">mips_uart.v(168)</a><!@TM:1190193952> | Trying to extract state machine for register ua_state
503
Extracted state machine for register ua_state
504
State machine has 8 reachable states with original encodings of:
505
   000
506
   001
507
   010
508
   011
509
   100
510
   101
511
   110
512
   111
513
<a name=error29><font color=red>@E:<a href="@E:CL175:@XP_HELP">CL175</a> : <a href="f:\a\rtl\verilog\mips_uart.v:80:17:80:29:@E:CL175:@XP_MSG">mips_uart.v(80)</a><!@TM:1190193952> | Multiple non-tristate drivers for net read_request in uart_write</font>
514
@N: : <a href="f:\a\rtl\verilog\mips_uart.v:12:7:12:12:@N::@XP_MSG">mips_uart.v(12)</a><!@TM:1190193952> | Synthesizing module uart0
515
 
516
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mips_uart.v:38:9:38:18:@W::@XP_MSG">mips_uart.v(38)</a><!@TM:1190193952> | No assignment to wire w_rxd_clr</font>
517
 
518
@N: : <a href="f:\a\rtl\verilog\dvc.v:52:7:52:17:@N::@XP_MSG">dvc.v(52)</a><!@TM:1190193952> | Synthesizing module seg7led_cv
519
 
520
@N: : <a href="f:\a\rtl\verilog\dvc.v:43:7:43:12:@N::@XP_MSG">dvc.v(43)</a><!@TM:1190193952> | Synthesizing module tmr_d
521
 
522
@N: : <a href="f:\a\rtl\verilog\dvc.v:3:7:3:11:@N::@XP_MSG">dvc.v(3)</a><!@TM:1190193952> | Synthesizing module tmr0
523
 
524
@N: : <a href="f:\a\rtl\verilog\mips_dvc.v:2:7:2:15:@N::@XP_MSG">mips_dvc.v(2)</a><!@TM:1190193952> | Synthesizing module mips_dvc
525
 
526
@N: : <a href="f:\a\rtl\verilog\mips_sys.v:4:7:4:15:@N::@XP_MSG">mips_sys.v(4)</a><!@TM:1190193952> | Synthesizing module mips_sys
527
 
528
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mips_sys.v:78:16:78:25:@W::@XP_MSG">mips_sys.v(78)</a><!@TM:1190193952> | No assignment to wire data2core</font>
529
 
530
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mips_sys.v:79:16:79:24:@W::@XP_MSG">mips_sys.v(79)</a><!@TM:1190193952> | No assignment to wire data2mem</font>
531
 
532
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mips_sys.v:80:16:80:24:@W::@XP_MSG">mips_sys.v(80)</a><!@TM:1190193952> | No assignment to wire ins2core</font>
533
 
534
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mips_sys.v:81:16:81:24:@W::@XP_MSG">mips_sys.v(81)</a><!@TM:1190193952> | No assignment to wire mem_Addr</font>
535
 
536
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mips_sys.v:82:16:82:18:@W::@XP_MSG">mips_sys.v(82)</a><!@TM:1190193952> | No assignment to wire pc</font>
537
 
538
<font color=#A52A2A>@W: : <a href="f:\a\rtl\verilog\mips_sys.v:83:15:83:20:@W::@XP_MSG">mips_sys.v(83)</a><!@TM:1190193952> | No assignment to wire wr_en</font>
539
 
540
<a name=error30><font color=red>@E:<a href="@E:CL147:@XP_HELP">CL147</a> : <a href="f:\a\rtl\verilog\mips_uart.v:80:17:80:29:@E:CL147:@XP_MSG">mips_uart.v(80)</a><!@TM:1190193952> | Unresolved tristate drivers for net read_request in uart_write</font>
541
@END
542
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
543
# Wed Sep 19 17:25:51 2007
544
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.