OpenCores
URL https://opencores.org/ocsvn/mips789/mips789/trunk

Subversion Repositories mips789

[/] [mips789/] [tags/] [arelease/] [verilog/] [simulate/] [sim_rom.v] - Blame information for rev 53

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mcupro
/////////////////////////////////////////////////////////////////////
2
////  Author: Liwei                                              ////
3
////                                                             ////
4
////                                                             ////
5
////  If you encountered any problem, please contact :           ////
6
////  Email: mcupro@yahoo.com or mcupro@opencores.org            ////
7
////                                                             ////
8
////  Downloaded from:                                           ////
9
////     http://www.opencores.org/pdownloads.cgi/list/mips789    ////
10
/////////////////////////////////////////////////////////////////////
11
////                                                             ////
12
//// Copyright (C) 2006-2007 Liwei                               ////
13
////                         mcupro@yahoo.com                    ////
14
////                                                             ////
15
////                                                             ////
16
//// This source file may be used and distributed freely without ////
17
//// restriction provided that this copyright statement is not   ////
18
//// removed from the file and any derivative work contains the  ////
19
//// original copyright notice and the associated disclaimer.    ////
20
////                                                             ////
21
//// Please let the author know if it is used                    ////
22
//// for commercial purpose.                                     ////
23
////                                                             ////
24
////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
25
//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
26
//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
27
//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
28
//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
29
//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
30
//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
31
//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
32
//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
33
//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
34
//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
35
//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
36
//// POSSIBILITY OF SUCH DAMAGE.                                 ////
37
////                                                             ////
38
/////////////////////////////////////////////////////////////////////
39
////                                                             ////
40
////                                                             ////
41
//// Date of Creation: 2007.8.1                                  ////
42
////                                                             ////
43
//// Version: 0.0.1                                              ////
44
////                                                             ////
45
//// Description:                                                ////
46
////                                                             ////
47
////                                                             ////
48
/////////////////////////////////////////////////////////////////////
49
////                                                             ////
50
//// Change log:                                                 ////
51
////                                                             ////
52
/////////////////////////////////////////////////////////////////////
53
 
54
//this file is genated by a software written in C language...
55
module sim_syn_ram0(
56
        data,
57
        wraddress,
58
        rdaddress_a,
59
        rdaddress_b,
60
        wren,
61
        clock,
62
        qa,
63
        qb);
64
 
65
    input   [7:0]  data;
66
    input   [10:0]  wraddress;
67
    input   [10:0]  rdaddress_a;
68
    input   [10:0]  rdaddress_b;
69
    input     wren;
70
    reg [7:0]  r_data;
71
    reg [10:0]  r_wraddress;
72
    reg [10:0]  r_rdaddress_a;
73
    reg [10:0]  r_rdaddress_b;
74
    reg   r_wren;
75
    input     clock;
76
    output  [7:0]  qa;
77
    output  [7:0]  qb;
78
    reg [7:0] mem_bank  [0:2047]  ;
79
    always @ (posedge clock) if (r_wren) mem_bank[r_wraddress]<=r_data;
80
    initial begin
81
        mem_bank[0] = 'h00 ; mem_bank[1] = 'h80 ; mem_bank[2] = 'h00 ; mem_bank[3] = 'h98 ; mem_bank[4] = 'h00 ; mem_bank[5] = 'h94 ; mem_bank[6] = 'h00 ; mem_bank[7] = 'h94 ; mem_bank[8] = 'h00 ; mem_bank[9] = 'h2a ;
82
        mem_bank[10] = 'hfd ; mem_bank[11] = 'h04 ; mem_bank[12] = 'hd1 ; mem_bank[13] = 'h00 ; mem_bank[14] = 'h00 ; mem_bank[15] = 'h0e ; mem_bank[16] = 'hd8 ; mem_bank[17] = 'h20 ; mem_bank[18] = 'h1c ; mem_bank[19] = 'h18 ;
83
        mem_bank[20] = 'h14 ; mem_bank[21] = 'h10 ; mem_bank[22] = 'h25 ; mem_bank[23] = 'he8 ; mem_bank[24] = 'hcc ; mem_bank[25] = 'hcd ; mem_bank[26] = 'h02 ; mem_bank[27] = 'h1b ; mem_bank[28] = 'h0d ; mem_bank[29] = 'h12 ;
84
        mem_bank[30] = 'h30 ; mem_bank[31] = 'h30 ; mem_bank[32] = 'h18 ; mem_bank[33] = 'h12 ; mem_bank[34] = 'h23 ; mem_bank[35] = 'h00 ; mem_bank[36] = 'h19 ; mem_bank[37] = 'h10 ; mem_bank[38] = 'hc2 ; mem_bank[39] = 'hf2 ;
85
        mem_bank[40] = 'h00 ; mem_bank[41] = 'h20 ; mem_bank[42] = 'h1c ; mem_bank[43] = 'h18 ; mem_bank[44] = 'h14 ; mem_bank[45] = 'h10 ; mem_bank[46] = 'h08 ; mem_bank[47] = 'h28 ; mem_bank[48] = 'hff ; mem_bank[49] = 'h00 ;
86
        mem_bank[50] = 'h10 ; mem_bank[51] = 'h00 ; mem_bank[52] = 'h00 ; mem_bank[53] = 'h14 ; mem_bank[54] = 'h00 ; mem_bank[55] = 'h00 ; mem_bank[56] = 'h04 ; mem_bank[57] = 'h00 ; mem_bank[58] = 'h15 ; mem_bank[59] = 'hff ;
87
        mem_bank[60] = 'hff ; mem_bank[61] = 'hff ; mem_bank[62] = 'hff ; mem_bank[63] = 'h00 ; mem_bank[64] = 'h14 ; mem_bank[65] = 'h00 ; mem_bank[66] = 'h00 ; mem_bank[67] = 'hfb ; mem_bank[68] = 'h08 ; mem_bank[69] = 'h00 ;
88
        mem_bank[70] = 'he8 ; mem_bank[71] = 'h14 ; mem_bank[72] = 'h10 ; mem_bank[73] = 'h25 ; mem_bank[74] = 'h00 ; mem_bank[75] = 'hb0 ; mem_bank[76] = 'h80 ; mem_bank[77] = 'h21 ; mem_bank[78] = 'h00 ; mem_bank[79] = 'h01 ;
89
        mem_bank[80] = 'h39 ; mem_bank[81] = 'hfb ; mem_bank[82] = 'h80 ; mem_bank[83] = 'h10 ; mem_bank[84] = 'h10 ; mem_bank[85] = 'h18 ; mem_bank[86] = 'h38 ; mem_bank[87] = 'h14 ; mem_bank[88] = 'h24 ; mem_bank[89] = 'h20 ;
90
        mem_bank[90] = 'h00 ; mem_bank[91] = 'hb0 ; mem_bank[92] = 'h10 ; mem_bank[93] = 'h66 ; mem_bank[94] = 'h67 ; mem_bank[95] = 'h18 ; mem_bank[96] = 'h10 ; mem_bank[97] = 'h43 ; mem_bank[98] = 'hc3 ; mem_bank[99] = 'h23 ;
91
        mem_bank[100] = 'h14 ; mem_bank[101] = 'h18 ; mem_bank[102] = 'h00 ; mem_bank[103] = 'h80 ; mem_bank[104] = 'h21 ; mem_bank[105] = 'h00 ; mem_bank[106] = 'h01 ; mem_bank[107] = 'h18 ; mem_bank[108] = 'hf8 ; mem_bank[109] = 'h00 ;
92
        mem_bank[110] = 'h20 ; mem_bank[111] = 'h14 ; mem_bank[112] = 'h00 ; mem_bank[113] = 'h40 ; mem_bank[114] = 'h1c ; mem_bank[115] = 'h59 ; mem_bank[116] = 'h00 ; mem_bank[117] = 'hb0 ; mem_bank[118] = 'h14 ; mem_bank[119] = 'h00 ;
93
        mem_bank[120] = 'h18 ; mem_bank[121] = 'h10 ; mem_bank[122] = 'h07 ; mem_bank[123] = 'h00 ; mem_bank[124] = 'h20 ; mem_bank[125] = 'h18 ; mem_bank[126] = 'h00 ; mem_bank[127] = 'h18 ; mem_bank[128] = 'h12 ; mem_bank[129] = 'h20 ;
94
        mem_bank[130] = 'h18 ; mem_bank[131] = 'h00 ; mem_bank[132] = 'h80 ; mem_bank[133] = 'h21 ; mem_bank[134] = 'h00 ; mem_bank[135] = 'h00 ; mem_bank[136] = 'h18 ; mem_bank[137] = 'h12 ; mem_bank[138] = 'h20 ; mem_bank[139] = 'h00 ;
95
        mem_bank[140] = 'h21 ; mem_bank[141] = 'h20 ; mem_bank[142] = 'h1c ; mem_bank[143] = 'h00 ; mem_bank[144] = 'hff ; mem_bank[145] = 'h1c ; mem_bank[146] = 'h02 ; mem_bank[147] = 'h1a ; mem_bank[148] = 'h0d ; mem_bank[149] = 'hff ;
96
        mem_bank[150] = 'h04 ; mem_bank[151] = 'h00 ; mem_bank[152] = 'h02 ; mem_bank[153] = 'h00 ; mem_bank[154] = 'h0d ; mem_bank[155] = 'h12 ; mem_bank[156] = 'h10 ; mem_bank[157] = 'h00 ; mem_bank[158] = 'h20 ; mem_bank[159] = 'hfe ;
97
        mem_bank[160] = 'h1c ; mem_bank[161] = 'hff ; mem_bank[162] = 'h18 ; mem_bank[163] = 'hd8 ; mem_bank[164] = 'h00 ; mem_bank[165] = 'h14 ; mem_bank[166] = 'h00 ; mem_bank[167] = 'hf2 ; mem_bank[168] = 'h14 ; mem_bank[169] = 'h10 ;
98
        mem_bank[170] = 'h00 ; mem_bank[171] = 'h02 ; mem_bank[172] = 'h1a ; mem_bank[173] = 'h0d ; mem_bank[174] = 'hff ; mem_bank[175] = 'h04 ; mem_bank[176] = 'h00 ; mem_bank[177] = 'h02 ; mem_bank[178] = 'h00 ; mem_bank[179] = 'h0d ;
99
        mem_bank[180] = 'h12 ; mem_bank[181] = 'h24 ; mem_bank[182] = 'h10 ; mem_bank[183] = 'h21 ; mem_bank[184] = 'h20 ; mem_bank[185] = 'h10 ; mem_bank[186] = 'h00 ; mem_bank[187] = 'h02 ; mem_bank[188] = 'h1a ; mem_bank[189] = 'h0d ;
100
        mem_bank[190] = 'hff ; mem_bank[191] = 'h04 ; mem_bank[192] = 'h00 ; mem_bank[193] = 'h02 ; mem_bank[194] = 'h00 ; mem_bank[195] = 'h0d ; mem_bank[196] = 'h10 ; mem_bank[197] = 'h24 ; mem_bank[198] = 'h20 ; mem_bank[199] = 'h14 ;
101
        mem_bank[200] = 'h00 ; mem_bank[201] = 'h40 ; mem_bank[202] = 'h1c ; mem_bank[203] = 'haa ; mem_bank[204] = 'h00 ; mem_bank[205] = 'h14 ; mem_bank[206] = 'h10 ; mem_bank[207] = 'h08 ; mem_bank[208] = 'h18 ; mem_bank[209] = 'he8 ;
102
        mem_bank[210] = 'h10 ; mem_bank[211] = 'h46 ; mem_bank[212] = 'h00 ; mem_bank[213] = 'hfd ; mem_bank[214] = 'h00 ; mem_bank[215] = 'h00 ; mem_bank[216] = 'h14 ; mem_bank[217] = 'h00 ; mem_bank[218] = 'h00 ; mem_bank[219] = 'h03 ;
103
        mem_bank[220] = 'h08 ; mem_bank[221] = 'h00 ; mem_bank[222] = 'h00 ; mem_bank[223] = 'h00 ; mem_bank[224] = 'h00 ; mem_bank[225] = 'h00 ; mem_bank[226] = 'h00 ; mem_bank[227] = 'h00 ; mem_bank[228] = 'h10 ; mem_bank[229] = 'h38 ;
104
        mem_bank[230] = 'h00 ; mem_bank[231] = 'h00 ; mem_bank[232] = 'h00 ; mem_bank[233] = 'h00 ; mem_bank[234] = 'h00 ; mem_bank[235] = 'h00 ; mem_bank[236] = 'h00 ; mem_bank[237] = 'h00 ; mem_bank[238] = 'h00 ; mem_bank[239] = 'h00 ;
105
        mem_bank[240] = 'h00 ; mem_bank[241] = 'h00 ; mem_bank[242] = 'h00 ; mem_bank[243] = 'h00 ; mem_bank[244] = 'h00 ; mem_bank[245] = 'h00 ; mem_bank[246] = 'h00 ; mem_bank[247] = 'h00 ; mem_bank[248] = 'h00 ; mem_bank[249] = 'h00 ;
106
        mem_bank[250] = 'h00 ; mem_bank[251] = 'h00 ; mem_bank[252] = 'h00 ; mem_bank[253] = 'h00 ; mem_bank[254] = 'h00 ; mem_bank[255] = 'h00 ; mem_bank[256] = 'h00 ; mem_bank[257] = 'h00 ; mem_bank[258] = 'h00 ; mem_bank[259] = 'h00 ;
107
        mem_bank[260] = 'h00 ; mem_bank[261] = 'h00 ; mem_bank[262] = 'h00 ; mem_bank[263] = 'h00 ; mem_bank[264] = 'h00 ; mem_bank[265] = 'h00 ; mem_bank[266] = 'h00 ; mem_bank[267] = 'h00 ; mem_bank[268] = 'h00 ; mem_bank[269] = 'h00 ;
108
        mem_bank[270] = 'h00 ; mem_bank[271] = 'h00 ; mem_bank[272] = 'h00 ; mem_bank[273] = 'h00 ; mem_bank[274] = 'h00 ; mem_bank[275] = 'h00 ; mem_bank[276] = 'h00 ; mem_bank[277] = 'h00 ; mem_bank[278] = 'h00 ; mem_bank[279] = 'h00 ;
109
        mem_bank[280] = 'h00 ; mem_bank[281] = 'h00 ; mem_bank[282] = 'h00 ; mem_bank[283] = 'h00 ; mem_bank[284] = 'h00 ; mem_bank[285] = 'h00 ; mem_bank[286] = 'h00 ; mem_bank[287] = 'h00 ; mem_bank[288] = 'h00 ; mem_bank[289] = 'h00 ;
110
        mem_bank[290] = 'h00 ; mem_bank[291] = 'h00 ; mem_bank[292] = 'h00 ; mem_bank[293] = 'h00 ;
111
    end
112
    always @ (posedge clock)
113
    begin
114
        r_data<=data;
115
        r_wraddress<=wraddress;
116
        r_rdaddress_a<=rdaddress_a;
117
        r_rdaddress_b<=rdaddress_b;
118
        r_wren<=wren;
119
    end
120
    assign qa =mem_bank[r_rdaddress_a];
121
    assign qb =mem_bank[r_rdaddress_b];
122
endmodule
123
 
124
 
125
 
126
module sim_syn_ram1(
127
        data,
128
        wraddress,
129
        rdaddress_a,
130
        rdaddress_b,
131
        wren,
132
        clock,
133
        qa,
134
        qb);
135
 
136
    input   [7:0]  data;
137
    input   [10:0]  wraddress;
138
    input   [10:0]  rdaddress_a;
139
    input   [10:0]  rdaddress_b;
140
    input     wren;
141
    reg [7:0]  r_data;
142
    reg [10:0]  r_wraddress;
143
    reg [10:0]  r_rdaddress_a;
144
    reg [10:0]  r_rdaddress_b;
145
    reg   r_wren;
146
    input     clock;
147
    output  [7:0]  qa;
148
    output  [7:0]  qb;
149
    reg [7:0] mem_bank  [0:2047]  ;
150
    always @ (posedge clock) if (r_wren) mem_bank[r_wraddress]<=r_data;
151
    initial begin
152
        mem_bank[0] = 'h00 ; mem_bank[1] = 'h83 ; mem_bank[2] = 'h00 ; mem_bank[3] = 'h03 ; mem_bank[4] = 'h00 ; mem_bank[5] = 'h04 ;
153
        mem_bank[6] = 'h00 ; mem_bank[7] = 'h06 ; mem_bank[8] = 'h00 ; mem_bank[9] = 'h18 ; mem_bank[10] = 'hff ; mem_bank[11] = 'h00 ; mem_bank[12] = 'h00 ; mem_bank[13] = 'h00 ; mem_bank[14] = 'h00 ; mem_bank[15] = 'h00 ;
154
        mem_bank[16] = 'hff ; mem_bank[17] = 'h00 ; mem_bank[18] = 'h00 ; mem_bank[19] = 'h00 ; mem_bank[20] = 'h00 ; mem_bank[21] = 'h00 ; mem_bank[22] = 'h90 ; mem_bank[23] = 'h03 ; mem_bank[24] = 'hcc ; mem_bank[25] = 'hcc ;
155
        mem_bank[26] = 'h00 ; mem_bank[27] = 'h00 ; mem_bank[28] = 'h00 ; mem_bank[29] = 'h80 ; mem_bank[30] = 'h00 ; mem_bank[31] = 'h00 ; mem_bank[32] = 'h00 ; mem_bank[33] = 'h28 ; mem_bank[34] = 'h90 ; mem_bank[35] = 'h00 ;
156
        mem_bank[36] = 'h00 ; mem_bank[37] = 'h28 ; mem_bank[38] = 'h88 ; mem_bank[39] = 'hff ; mem_bank[40] = 'h00 ; mem_bank[41] = 'h00 ; mem_bank[42] = 'h00 ; mem_bank[43] = 'h00 ; mem_bank[44] = 'h00 ; mem_bank[45] = 'h00 ;
157
        mem_bank[46] = 'h00 ; mem_bank[47] = 'h00 ; mem_bank[48] = 'h00 ; mem_bank[49] = 'h80 ; mem_bank[50] = 'h00 ; mem_bank[51] = 'h00 ; mem_bank[52] = 'h80 ; mem_bank[53] = 'h00 ; mem_bank[54] = 'h00 ; mem_bank[55] = 'h00 ;
158
        mem_bank[56] = 'h00 ; mem_bank[57] = 'h00 ; mem_bank[58] = 'h05 ; mem_bank[59] = 'hff ; mem_bank[60] = 'hff ; mem_bank[61] = 'hff ; mem_bank[62] = 'hff ; mem_bank[63] = 'h80 ; mem_bank[64] = 'h00 ; mem_bank[65] = 'h00 ;
159
        mem_bank[66] = 'h00 ; mem_bank[67] = 'h00 ; mem_bank[68] = 'h00 ; mem_bank[69] = 'h00 ; mem_bank[70] = 'hff ; mem_bank[71] = 'h00 ; mem_bank[72] = 'h00 ; mem_bank[73] = 'h18 ; mem_bank[74] = 'h00 ; mem_bank[75] = 'h03 ;
160
        mem_bank[76] = 'h10 ; mem_bank[77] = 'h10 ; mem_bank[78] = 'h00 ; mem_bank[79] = 'h00 ; mem_bank[80] = 'h00 ; mem_bank[81] = 'hff ; mem_bank[82] = 'h10 ; mem_bank[83] = 'h27 ; mem_bank[84] = 'h80 ; mem_bank[85] = 'h80 ;
161
        mem_bank[86] = 'h00 ; mem_bank[87] = 'h80 ; mem_bank[88] = 'h80 ; mem_bank[89] = 'h80 ; mem_bank[90] = 'h00 ; mem_bank[91] = 'h03 ; mem_bank[92] = 'h80 ; mem_bank[93] = 'h66 ; mem_bank[94] = 'h66 ; mem_bank[95] = 'h00 ;
162
        mem_bank[96] = 'h40 ; mem_bank[97] = 'h18 ; mem_bank[98] = 'h17 ; mem_bank[99] = 'h28 ; mem_bank[100] = 'h80 ; mem_bank[101] = 'h80 ; mem_bank[102] = 'h00 ; mem_bank[103] = 'h10 ; mem_bank[104] = 'h10 ; mem_bank[105] = 'h00 ;
163
        mem_bank[106] = 'h00 ; mem_bank[107] = 'h80 ; mem_bank[108] = 'hff ; mem_bank[109] = 'h00 ; mem_bank[110] = 'h80 ; mem_bank[111] = 'h80 ; mem_bank[112] = 'h00 ; mem_bank[113] = 'h10 ; mem_bank[114] = 'h80 ; mem_bank[115] = 'h00 ;
164
        mem_bank[116] = 'h00 ; mem_bank[117] = 'h03 ; mem_bank[118] = 'h80 ; mem_bank[119] = 'h00 ; mem_bank[120] = 'h80 ; mem_bank[121] = 'h80 ; mem_bank[122] = 'h00 ; mem_bank[123] = 'h00 ; mem_bank[124] = 'h80 ; mem_bank[125] = 'h80 ;
165
        mem_bank[126] = 'h00 ; mem_bank[127] = 'h00 ; mem_bank[128] = 'h40 ; mem_bank[129] = 'h80 ; mem_bank[130] = 'h80 ; mem_bank[131] = 'h00 ; mem_bank[132] = 'h28 ; mem_bank[133] = 'h28 ; mem_bank[134] = 'h00 ; mem_bank[135] = 'h00 ;
166
        mem_bank[136] = 'h00 ; mem_bank[137] = 'h10 ; mem_bank[138] = 'h80 ; mem_bank[139] = 'h00 ; mem_bank[140] = 'h18 ; mem_bank[141] = 'h80 ; mem_bank[142] = 'h80 ; mem_bank[143] = 'h00 ; mem_bank[144] = 'hff ; mem_bank[145] = 'h80 ;
167
        mem_bank[146] = 'h00 ; mem_bank[147] = 'h00 ; mem_bank[148] = 'h00 ; mem_bank[149] = 'hff ; mem_bank[150] = 'h00 ; mem_bank[151] = 'h80 ; mem_bank[152] = 'h00 ; mem_bank[153] = 'h00 ; mem_bank[154] = 'h00 ; mem_bank[155] = 'h18 ;
168
        mem_bank[156] = 'h10 ; mem_bank[157] = 'h00 ; mem_bank[158] = 'h80 ; mem_bank[159] = 'hff ; mem_bank[160] = 'h80 ; mem_bank[161] = 'hff ; mem_bank[162] = 'h80 ; mem_bank[163] = 'hff ; mem_bank[164] = 'h00 ; mem_bank[165] = 'h80 ;
169
        mem_bank[166] = 'h00 ; mem_bank[167] = 'hff ; mem_bank[168] = 'h80 ; mem_bank[169] = 'h80 ; mem_bank[170] = 'h00 ; mem_bank[171] = 'h00 ; mem_bank[172] = 'h00 ; mem_bank[173] = 'h00 ; mem_bank[174] = 'hff ; mem_bank[175] = 'h00 ;
170
        mem_bank[176] = 'h80 ; mem_bank[177] = 'h00 ; mem_bank[178] = 'h00 ; mem_bank[179] = 'h00 ; mem_bank[180] = 'h18 ; mem_bank[181] = 'h80 ; mem_bank[182] = 'h00 ; mem_bank[183] = 'h20 ; mem_bank[184] = 'h80 ; mem_bank[185] = 'h80 ;
171
        mem_bank[186] = 'h00 ; mem_bank[187] = 'h00 ; mem_bank[188] = 'h00 ; mem_bank[189] = 'h00 ; mem_bank[190] = 'hff ; mem_bank[191] = 'h00 ; mem_bank[192] = 'h80 ; mem_bank[193] = 'h00 ; mem_bank[194] = 'h00 ; mem_bank[195] = 'h00 ;
172
        mem_bank[196] = 'h10 ; mem_bank[197] = 'h80 ; mem_bank[198] = 'h80 ; mem_bank[199] = 'h80 ; mem_bank[200] = 'h00 ; mem_bank[201] = 'h10 ; mem_bank[202] = 'h80 ; mem_bank[203] = 'hff ; mem_bank[204] = 'h00 ; mem_bank[205] = 'h00 ;
173
        mem_bank[206] = 'h00 ; mem_bank[207] = 'h00 ; mem_bank[208] = 'h00 ; mem_bank[209] = 'hff ; mem_bank[210] = 'h00 ; mem_bank[211] = 'h00 ; mem_bank[212] = 'h00 ; mem_bank[213] = 'hff ; mem_bank[214] = 'h00 ; mem_bank[215] = 'h80 ;
174
        mem_bank[216] = 'h00 ; mem_bank[217] = 'h00 ; mem_bank[218] = 'h00 ; mem_bank[219] = 'h00 ; mem_bank[220] = 'h00 ; mem_bank[221] = 'h00 ; mem_bank[222] = 'h00 ; mem_bank[223] = 'h00 ; mem_bank[224] = 'h00 ; mem_bank[225] = 'h00 ;
175
        mem_bank[226] = 'h00 ; mem_bank[227] = 'h00 ; mem_bank[228] = 'h27 ; mem_bank[229] = 'h00 ; mem_bank[230] = 'h00 ; mem_bank[231] = 'h00 ; mem_bank[232] = 'h00 ; mem_bank[233] = 'h00 ; mem_bank[234] = 'h00 ; mem_bank[235] = 'h00 ;
176
        mem_bank[236] = 'h00 ; mem_bank[237] = 'h00 ; mem_bank[238] = 'h00 ; mem_bank[239] = 'h00 ; mem_bank[240] = 'h00 ; mem_bank[241] = 'h00 ; mem_bank[242] = 'h00 ; mem_bank[243] = 'h00 ; mem_bank[244] = 'h00 ; mem_bank[245] = 'h00 ;
177
        mem_bank[246] = 'h00 ; mem_bank[247] = 'h00 ; mem_bank[248] = 'h00 ; mem_bank[249] = 'h00 ; mem_bank[250] = 'h00 ; mem_bank[251] = 'h00 ; mem_bank[252] = 'h00 ; mem_bank[253] = 'h00 ; mem_bank[254] = 'h00 ; mem_bank[255] = 'h00 ;
178
        mem_bank[256] = 'h00 ; mem_bank[257] = 'h00 ; mem_bank[258] = 'h00 ; mem_bank[259] = 'h00 ; mem_bank[260] = 'h00 ; mem_bank[261] = 'h00 ; mem_bank[262] = 'h00 ; mem_bank[263] = 'h00 ; mem_bank[264] = 'h00 ; mem_bank[265] = 'h00 ;
179
        mem_bank[266] = 'h00 ; mem_bank[267] = 'h00 ; mem_bank[268] = 'h00 ; mem_bank[269] = 'h00 ; mem_bank[270] = 'h00 ; mem_bank[271] = 'h00 ; mem_bank[272] = 'h00 ; mem_bank[273] = 'h00 ; mem_bank[274] = 'h00 ; mem_bank[275] = 'h00 ;
180
        mem_bank[276] = 'h00 ; mem_bank[277] = 'h00 ; mem_bank[278] = 'h00 ; mem_bank[279] = 'h00 ; mem_bank[280] = 'h00 ; mem_bank[281] = 'h00 ; mem_bank[282] = 'h00 ; mem_bank[283] = 'h00 ; mem_bank[284] = 'h00 ; mem_bank[285] = 'h00 ;
181
        mem_bank[286] = 'h00 ; mem_bank[287] = 'h00 ; mem_bank[288] = 'h00 ; mem_bank[289] = 'h00 ; mem_bank[290] = 'h00 ; mem_bank[291] = 'h00 ; mem_bank[292] = 'h00 ; mem_bank[293] = 'h00 ;
182
    end
183
    always @ (posedge clock)
184
    begin
185
        r_data<=data;
186
        r_wraddress<=wraddress;
187
        r_rdaddress_a<=rdaddress_a;
188
        r_rdaddress_b<=rdaddress_b;
189
        r_wren<=wren;
190
    end
191
    assign qa =mem_bank[r_rdaddress_a];
192
    assign qb =mem_bank[r_rdaddress_b];
193
endmodule
194
 
195
 
196
 
197
module sim_syn_ram2(
198
        data,
199
        wraddress,
200
        rdaddress_a,
201
        rdaddress_b,
202
        wren,
203
        clock,
204
        qa,
205
        qb);
206
 
207
    input   [7:0]  data;
208
    input   [10:0]  wraddress;
209
    input   [10:0]  rdaddress_a;
210
    input   [10:0]  rdaddress_b;
211
    input     wren;
212
    reg [7:0]  r_data;
213
    reg [10:0]  r_wraddress;
214
    reg [10:0]  r_rdaddress_a;
215
    reg [10:0]  r_rdaddress_b;
216
    reg   r_wren;
217
    input     clock;
218
    output  [7:0]  qa;
219
    output  [7:0]  qb;
220
    reg [7:0] mem_bank  [0:2047]  ;
221
    always @ (posedge clock) if (r_wren) mem_bank[r_wraddress]<=r_data;
222
    initial begin
223
        mem_bank[0] = 'h1c ; mem_bank[1] = 'h9c ;
224
        mem_bank[2] = 'h04 ; mem_bank[3] = 'h84 ; mem_bank[4] = 'h05 ; mem_bank[5] = 'ha5 ; mem_bank[6] = 'h1d ; mem_bank[7] = 'hbd ; mem_bank[8] = 'h80 ; mem_bank[9] = 'h85 ; mem_bank[10] = 'h60 ; mem_bank[11] = 'h84 ;
225
        mem_bank[12] = 'h00 ; mem_bank[13] = 'h00 ; mem_bank[14] = 'h00 ; mem_bank[15] = 'h00 ; mem_bank[16] = 'hbd ; mem_bank[17] = 'hbf ; mem_bank[18] = 'hb3 ; mem_bank[19] = 'hb2 ; mem_bank[20] = 'hb1 ; mem_bank[21] = 'hb0 ;
226
        mem_bank[22] = 'h80 ; mem_bank[23] = 'h11 ; mem_bank[24] = 'h13 ; mem_bank[25] = 'h73 ; mem_bank[26] = 'h20 ; mem_bank[27] = 'h51 ; mem_bank[28] = 'h07 ; mem_bank[29] = 'h00 ; mem_bank[30] = 'h00 ; mem_bank[31] = 'h04 ;
227
        mem_bank[32] = 'h11 ; mem_bank[33] = 'h00 ; mem_bank[34] = 'h45 ; mem_bank[35] = 'h00 ; mem_bank[36] = 'h33 ; mem_bank[37] = 'h00 ; mem_bank[38] = 'h05 ; mem_bank[39] = 'h20 ; mem_bank[40] = 'h00 ; mem_bank[41] = 'hbf ;
228
        mem_bank[42] = 'hb3 ; mem_bank[43] = 'hb2 ; mem_bank[44] = 'hb1 ; mem_bank[45] = 'hb0 ; mem_bank[46] = 'he0 ; mem_bank[47] = 'hbd ; mem_bank[48] = 'h84 ; mem_bank[49] = 'h02 ; mem_bank[50] = 'h42 ; mem_bank[51] = 'h44 ;
229
        mem_bank[52] = 'h03 ; mem_bank[53] = 'h63 ; mem_bank[54] = 'h62 ; mem_bank[55] = 'h00 ; mem_bank[56] = 'h42 ; mem_bank[57] = 'h62 ; mem_bank[58] = 'h02 ; mem_bank[59] = 'h03 ; mem_bank[60] = 'h42 ; mem_bank[61] = 'h43 ;
230
        mem_bank[62] = 'h42 ; mem_bank[63] = 'h03 ; mem_bank[64] = 'h63 ; mem_bank[65] = 'h62 ; mem_bank[66] = 'h00 ; mem_bank[67] = 'h42 ; mem_bank[68] = 'he0 ; mem_bank[69] = 'h62 ; mem_bank[70] = 'hbd ; mem_bank[71] = 'hbf ;
231
        mem_bank[72] = 'hb0 ; mem_bank[73] = 'h00 ; mem_bank[74] = 'h02 ; mem_bank[75] = 'h44 ; mem_bank[76] = 'h03 ; mem_bank[77] = 'h44 ; mem_bank[78] = 'h40 ; mem_bank[79] = 'h63 ; mem_bank[80] = 'h62 ; mem_bank[81] = 'h40 ;
232
        mem_bank[82] = 'h03 ; mem_bank[83] = 'h02 ; mem_bank[84] = 'h82 ; mem_bank[85] = 'h80 ; mem_bank[86] = 'h02 ; mem_bank[87] = 'h82 ; mem_bank[88] = 'h80 ; mem_bank[89] = 'h80 ; mem_bank[90] = 'h02 ; mem_bank[91] = 'h46 ;
233
        mem_bank[92] = 'h82 ; mem_bank[93] = 'h03 ; mem_bank[94] = 'h63 ; mem_bank[95] = 'h43 ; mem_bank[96] = 'h00 ; mem_bank[97] = 'h08 ; mem_bank[98] = 'h02 ; mem_bank[99] = 'h62 ; mem_bank[100] = 'h84 ; mem_bank[101] = 'h83 ;
234
        mem_bank[102] = 'h00 ; mem_bank[103] = 'h03 ; mem_bank[104] = 'h46 ; mem_bank[105] = 'h45 ; mem_bank[106] = 'h63 ; mem_bank[107] = 'h83 ; mem_bank[108] = 'h64 ; mem_bank[109] = 'h00 ; mem_bank[110] = 'h80 ; mem_bank[111] = 'h82 ;
235
        mem_bank[112] = 'h00 ; mem_bank[113] = 'h02 ; mem_bank[114] = 'h82 ; mem_bank[115] = 'h40 ; mem_bank[116] = 'h02 ; mem_bank[117] = 'h50 ; mem_bank[118] = 'h82 ; mem_bank[119] = 'h00 ; mem_bank[120] = 'h82 ; mem_bank[121] = 'h87 ;
236
        mem_bank[122] = 'h00 ; mem_bank[123] = 'h00 ; mem_bank[124] = 'h83 ; mem_bank[125] = 'h82 ; mem_bank[126] = 'h00 ; mem_bank[127] = 'h62 ; mem_bank[128] = 'h00 ; mem_bank[129] = 'h88 ; mem_bank[130] = 'h86 ; mem_bank[131] = 'h00 ;
237
        mem_bank[132] = 'h06 ; mem_bank[133] = 'hb0 ; mem_bank[134] = 'ha2 ; mem_bank[135] = 'h00 ; mem_bank[136] = 'h47 ; mem_bank[137] = 'h00 ; mem_bank[138] = 'h83 ; mem_bank[139] = 'h00 ; mem_bank[140] = 'h43 ; mem_bank[141] = 'h83 ;
238
        mem_bank[142] = 'h84 ; mem_bank[143] = 'h00 ; mem_bank[144] = 'h82 ; mem_bank[145] = 'h82 ; mem_bank[146] = 'h40 ; mem_bank[147] = 'h62 ; mem_bank[148] = 'h07 ; mem_bank[149] = 'h01 ; mem_bank[150] = 'h41 ; mem_bank[151] = 'h01 ;
239
        mem_bank[152] = 'h61 ; mem_bank[153] = 'h00 ; mem_bank[154] = 'h06 ; mem_bank[155] = 'h00 ; mem_bank[156] = 'h00 ; mem_bank[157] = 'ha2 ; mem_bank[158] = 'h83 ; mem_bank[159] = 'h84 ; mem_bank[160] = 'h84 ; mem_bank[161] = 'hc6 ;
240
        mem_bank[162] = 'h86 ; mem_bank[163] = 'hc0 ; mem_bank[164] = 'h00 ; mem_bank[165] = 'h82 ; mem_bank[166] = 'h00 ; mem_bank[167] = 'h42 ; mem_bank[168] = 'h82 ; mem_bank[169] = 'h82 ; mem_bank[170] = 'h00 ; mem_bank[171] = 'h40 ;
241
        mem_bank[172] = 'h62 ; mem_bank[173] = 'h07 ; mem_bank[174] = 'h01 ; mem_bank[175] = 'h41 ; mem_bank[176] = 'h01 ; mem_bank[177] = 'h61 ; mem_bank[178] = 'h00 ; mem_bank[179] = 'h06 ; mem_bank[180] = 'h00 ; mem_bank[181] = 'h84 ;
242
        mem_bank[182] = 'h00 ; mem_bank[183] = 'h64 ; mem_bank[184] = 'h83 ; mem_bank[185] = 'h82 ; mem_bank[186] = 'h00 ; mem_bank[187] = 'h40 ; mem_bank[188] = 'h62 ; mem_bank[189] = 'h07 ; mem_bank[190] = 'h01 ; mem_bank[191] = 'h41 ;
243
        mem_bank[192] = 'h01 ; mem_bank[193] = 'h61 ; mem_bank[194] = 'h00 ; mem_bank[195] = 'h06 ; mem_bank[196] = 'h00 ; mem_bank[197] = 'h82 ; mem_bank[198] = 'h80 ; mem_bank[199] = 'h82 ; mem_bank[200] = 'h00 ; mem_bank[201] = 'h02 ;
244
        mem_bank[202] = 'h82 ; mem_bank[203] = 'h40 ; mem_bank[204] = 'h00 ; mem_bank[205] = 'hbf ; mem_bank[206] = 'hb0 ; mem_bank[207] = 'he0 ; mem_bank[208] = 'hbd ; mem_bank[209] = 'hbd ; mem_bank[210] = 'hbf ; mem_bank[211] = 'h00 ;
245
        mem_bank[212] = 'h00 ; mem_bank[213] = 'h00 ; mem_bank[214] = 'h00 ; mem_bank[215] = 'h03 ; mem_bank[216] = 'h63 ; mem_bank[217] = 'h62 ; mem_bank[218] = 'h00 ; mem_bank[219] = 'h42 ; mem_bank[220] = 'he0 ; mem_bank[221] = 'h62 ;
246
        mem_bank[222] = 'h00 ; mem_bank[223] = 'h00 ; mem_bank[224] = 'h00 ; mem_bank[225] = 'h00 ; mem_bank[226] = 'h00 ; mem_bank[227] = 'h00 ; mem_bank[228] = 'h00 ; mem_bank[229] = 'h00 ; mem_bank[230] = 'h00 ; mem_bank[231] = 'h00 ;
247
        mem_bank[232] = 'h00 ; mem_bank[233] = 'h00 ; mem_bank[234] = 'h00 ; mem_bank[235] = 'h00 ; mem_bank[236] = 'h00 ; mem_bank[237] = 'h00 ; mem_bank[238] = 'h00 ; mem_bank[239] = 'h00 ; mem_bank[240] = 'h00 ; mem_bank[241] = 'h00 ;
248
        mem_bank[242] = 'h00 ; mem_bank[243] = 'h00 ; mem_bank[244] = 'h00 ; mem_bank[245] = 'h00 ; mem_bank[246] = 'h00 ; mem_bank[247] = 'h00 ; mem_bank[248] = 'h00 ; mem_bank[249] = 'h00 ; mem_bank[250] = 'h00 ; mem_bank[251] = 'h00 ;
249
        mem_bank[252] = 'h00 ; mem_bank[253] = 'h00 ; mem_bank[254] = 'h00 ; mem_bank[255] = 'h00 ; mem_bank[256] = 'h00 ; mem_bank[257] = 'h00 ; mem_bank[258] = 'h00 ; mem_bank[259] = 'h00 ; mem_bank[260] = 'h00 ; mem_bank[261] = 'h00 ;
250
        mem_bank[262] = 'h00 ; mem_bank[263] = 'h00 ; mem_bank[264] = 'h00 ; mem_bank[265] = 'h00 ; mem_bank[266] = 'h00 ; mem_bank[267] = 'h00 ; mem_bank[268] = 'h00 ; mem_bank[269] = 'h00 ; mem_bank[270] = 'h00 ; mem_bank[271] = 'h00 ;
251
        mem_bank[272] = 'h00 ; mem_bank[273] = 'h00 ; mem_bank[274] = 'h00 ; mem_bank[275] = 'h00 ; mem_bank[276] = 'h00 ; mem_bank[277] = 'h00 ; mem_bank[278] = 'h00 ; mem_bank[279] = 'h00 ; mem_bank[280] = 'h00 ; mem_bank[281] = 'h00 ;
252
        mem_bank[282] = 'h00 ; mem_bank[283] = 'h00 ; mem_bank[284] = 'h00 ; mem_bank[285] = 'h00 ; mem_bank[286] = 'h00 ; mem_bank[287] = 'h00 ; mem_bank[288] = 'h00 ; mem_bank[289] = 'h00 ; mem_bank[290] = 'h00 ; mem_bank[291] = 'h00 ;
253
        mem_bank[292] = 'h00 ; mem_bank[293] = 'h00 ;
254
    end
255
    always @ (posedge clock)
256
    begin
257
        r_data<=data;
258
        r_wraddress<=wraddress;
259
        r_rdaddress_a<=rdaddress_a;
260
        r_rdaddress_b<=rdaddress_b;
261
        r_wren<=wren;
262
    end
263
    assign qa =mem_bank[r_rdaddress_a];
264
    assign qb =mem_bank[r_rdaddress_b];
265
endmodule
266
 
267
 
268
 
269
module sim_syn_ram3(
270
        data,
271
        wraddress,
272
        rdaddress_a,
273
        rdaddress_b,
274
        wren,
275
        clock,
276
        qa,
277
        qb);
278
 
279
    input   [7:0]  data;
280
    input   [10:0]  wraddress;
281
    input   [10:0]  rdaddress_a;
282
    input   [10:0]  rdaddress_b;
283
    input     wren;
284
    reg [7:0]  r_data;
285
    reg [10:0]  r_wraddress;
286
    reg [10:0]  r_rdaddress_a;
287
    reg [10:0]  r_rdaddress_b;
288
    reg   r_wren;
289
    input     clock;
290
    output  [7:0]  qa;
291
    output  [7:0]  qb;
292
    reg [7:0] mem_bank  [0:2047]  ;
293
    always @ (posedge clock) if (r_wren) mem_bank[r_wraddress]<=r_data;
294
    initial begin
295
        mem_bank[0] = 'h3c ; mem_bank[1] = 'h37 ; mem_bank[2] = 'h3c ; mem_bank[3] = 'h34 ; mem_bank[4] = 'h3c ; mem_bank[5] = 'h34 ; mem_bank[6] = 'h3c ; mem_bank[7] = 'h37 ;
296
        mem_bank[8] = 'hac ; mem_bank[9] = 'h00 ; mem_bank[10] = 'h14 ; mem_bank[11] = 'h24 ; mem_bank[12] = 'h0c ; mem_bank[13] = 'h00 ; mem_bank[14] = 'h00 ; mem_bank[15] = 'h08 ; mem_bank[16] = 'h27 ; mem_bank[17] = 'haf ;
297
        mem_bank[18] = 'haf ; mem_bank[19] = 'haf ; mem_bank[20] = 'haf ; mem_bank[21] = 'haf ; mem_bank[22] = 'h00 ; mem_bank[23] = 'h24 ; mem_bank[24] = 'h3c ; mem_bank[25] = 'h36 ; mem_bank[26] = 'h16 ; mem_bank[27] = 'h02 ;
298
        mem_bank[28] = 'h00 ; mem_bank[29] = 'h00 ; mem_bank[30] = 'h0c ; mem_bank[31] = 'h26 ; mem_bank[32] = 'h02 ; mem_bank[33] = 'h00 ; mem_bank[34] = 'h02 ; mem_bank[35] = 'h00 ; mem_bank[36] = 'h02 ; mem_bank[37] = 'h00 ;
299
        mem_bank[38] = 'h00 ; mem_bank[39] = 'h16 ; mem_bank[40] = 'h00 ; mem_bank[41] = 'h8f ; mem_bank[42] = 'h8f ; mem_bank[43] = 'h8f ; mem_bank[44] = 'h8f ; mem_bank[45] = 'h8f ; mem_bank[46] = 'h03 ; mem_bank[47] = 'h27 ;
300
        mem_bank[48] = 'h30 ; mem_bank[49] = 'h3c ; mem_bank[50] = 'h34 ; mem_bank[51] = 'ha0 ; mem_bank[52] = 'h3c ; mem_bank[53] = 'h34 ; mem_bank[54] = 'h90 ; mem_bank[55] = 'h00 ; mem_bank[56] = 'h34 ; mem_bank[57] = 'ha0 ;
301
        mem_bank[58] = 'h24 ; mem_bank[59] = 'h24 ; mem_bank[60] = 'h24 ; mem_bank[61] = 'h14 ; mem_bank[62] = 'h24 ; mem_bank[63] = 'h3c ; mem_bank[64] = 'h34 ; mem_bank[65] = 'h90 ; mem_bank[66] = 'h00 ; mem_bank[67] = 'h30 ;
302
        mem_bank[68] = 'h03 ; mem_bank[69] = 'ha0 ; mem_bank[70] = 'h27 ; mem_bank[71] = 'haf ; mem_bank[72] = 'haf ; mem_bank[73] = 'h00 ; mem_bank[74] = 'h3c ; mem_bank[75] = 'h24 ; mem_bank[76] = 'h00 ; mem_bank[77] = 'h00 ;
303
        mem_bank[78] = 'hac ; mem_bank[79] = 'h24 ; mem_bank[80] = 'h28 ; mem_bank[81] = 'h14 ; mem_bank[82] = 'h00 ; mem_bank[83] = 'h24 ; mem_bank[84] = 'haf ; mem_bank[85] = 'haf ; mem_bank[86] = 'h24 ; mem_bank[87] = 'haf ;
304
        mem_bank[88] = 'haf ; mem_bank[89] = 'haf ; mem_bank[90] = 'h3c ; mem_bank[91] = 'h24 ; mem_bank[92] = 'h8f ; mem_bank[93] = 'h3c ; mem_bank[94] = 'h34 ; mem_bank[95] = 'h00 ; mem_bank[96] = 'h00 ; mem_bank[97] = 'h00 ;
305
        mem_bank[98] = 'h00 ; mem_bank[99] = 'h00 ; mem_bank[100] = 'h8f ; mem_bank[101] = 'h8f ; mem_bank[102] = 'h00 ; mem_bank[103] = 'h00 ; mem_bank[104] = 'h00 ; mem_bank[105] = 'hac ; mem_bank[106] = 'h24 ; mem_bank[107] = 'haf ;
306
        mem_bank[108] = 'h14 ; mem_bank[109] = 'h00 ; mem_bank[110] = 'haf ; mem_bank[111] = 'h8f ; mem_bank[112] = 'h00 ; mem_bank[113] = 'h00 ; mem_bank[114] = 'haf ; mem_bank[115] = 'h10 ; mem_bank[116] = 'h3c ; mem_bank[117] = 'h24 ;
307
        mem_bank[118] = 'h8f ; mem_bank[119] = 'h00 ; mem_bank[120] = 'haf ; mem_bank[121] = 'h8f ; mem_bank[122] = 'h10 ; mem_bank[123] = 'h00 ; mem_bank[124] = 'h8f ; mem_bank[125] = 'h8f ; mem_bank[126] = 'h00 ; mem_bank[127] = 'h00 ;
308
        mem_bank[128] = 'h00 ; mem_bank[129] = 'haf ; mem_bank[130] = 'h8f ; mem_bank[131] = 'h00 ; mem_bank[132] = 'h00 ; mem_bank[133] = 'h00 ; mem_bank[134] = 'h8c ; mem_bank[135] = 'h00 ; mem_bank[136] = 'h00 ; mem_bank[137] = 'h00 ;
309
        mem_bank[138] = 'h8f ; mem_bank[139] = 'h00 ; mem_bank[140] = 'h00 ; mem_bank[141] = 'haf ; mem_bank[142] = 'h8f ; mem_bank[143] = 'h00 ; mem_bank[144] = 'h24 ; mem_bank[145] = 'haf ; mem_bank[146] = 'h14 ; mem_bank[147] = 'h00 ;
310
        mem_bank[148] = 'h00 ; mem_bank[149] = 'h24 ; mem_bank[150] = 'h14 ; mem_bank[151] = 'h3c ; mem_bank[152] = 'h14 ; mem_bank[153] = 'h00 ; mem_bank[154] = 'h00 ; mem_bank[155] = 'h00 ; mem_bank[156] = 'h00 ; mem_bank[157] = 'hac ;
311
        mem_bank[158] = 'haf ; mem_bank[159] = 'h24 ; mem_bank[160] = 'haf ; mem_bank[161] = 'h24 ; mem_bank[162] = 'haf ; mem_bank[163] = 'h14 ; mem_bank[164] = 'h00 ; mem_bank[165] = 'h8f ; mem_bank[166] = 'h00 ; mem_bank[167] = 'h24 ;
312
        mem_bank[168] = 'haf ; mem_bank[169] = 'h8f ; mem_bank[170] = 'h00 ; mem_bank[171] = 'h14 ; mem_bank[172] = 'h00 ; mem_bank[173] = 'h00 ; mem_bank[174] = 'h24 ; mem_bank[175] = 'h14 ; mem_bank[176] = 'h3c ; mem_bank[177] = 'h14 ;
313
        mem_bank[178] = 'h00 ; mem_bank[179] = 'h00 ; mem_bank[180] = 'h00 ; mem_bank[181] = 'h8f ; mem_bank[182] = 'h0c ; mem_bank[183] = 'h00 ; mem_bank[184] = 'h8f ; mem_bank[185] = 'h8f ; mem_bank[186] = 'h00 ; mem_bank[187] = 'h14 ;
314
        mem_bank[188] = 'h00 ; mem_bank[189] = 'h00 ; mem_bank[190] = 'h24 ; mem_bank[191] = 'h14 ; mem_bank[192] = 'h3c ; mem_bank[193] = 'h14 ; mem_bank[194] = 'h00 ; mem_bank[195] = 'h00 ; mem_bank[196] = 'h00 ; mem_bank[197] = 'haf ;
315
        mem_bank[198] = 'haf ; mem_bank[199] = 'h8f ; mem_bank[200] = 'h00 ; mem_bank[201] = 'h00 ; mem_bank[202] = 'haf ; mem_bank[203] = 'h14 ; mem_bank[204] = 'h00 ; mem_bank[205] = 'h8f ; mem_bank[206] = 'h8f ; mem_bank[207] = 'h03 ;
316
        mem_bank[208] = 'h27 ; mem_bank[209] = 'h27 ; mem_bank[210] = 'haf ; mem_bank[211] = 'h0c ; mem_bank[212] = 'h00 ; mem_bank[213] = 'h10 ; mem_bank[214] = 'h00 ; mem_bank[215] = 'h3c ; mem_bank[216] = 'h34 ; mem_bank[217] = 'h90 ;
317
        mem_bank[218] = 'h00 ; mem_bank[219] = 'h34 ; mem_bank[220] = 'h03 ; mem_bank[221] = 'ha0 ; mem_bank[222] = 'h00 ; mem_bank[223] = 'h00 ; mem_bank[224] = 'h00 ; mem_bank[225] = 'h00 ; mem_bank[226] = 'h00 ; mem_bank[227] = 'h00 ;
318
        mem_bank[228] = 'h00 ; mem_bank[229] = 'h00 ; mem_bank[230] = 'h00 ; mem_bank[231] = 'h00 ; mem_bank[232] = 'h00 ; mem_bank[233] = 'h00 ; mem_bank[234] = 'h00 ; mem_bank[235] = 'h00 ; mem_bank[236] = 'h00 ; mem_bank[237] = 'h00 ;
319
        mem_bank[238] = 'h00 ; mem_bank[239] = 'h00 ; mem_bank[240] = 'h00 ; mem_bank[241] = 'h00 ; mem_bank[242] = 'h00 ; mem_bank[243] = 'h00 ; mem_bank[244] = 'h00 ; mem_bank[245] = 'h00 ; mem_bank[246] = 'h00 ; mem_bank[247] = 'h00 ;
320
        mem_bank[248] = 'h00 ; mem_bank[249] = 'h00 ; mem_bank[250] = 'h00 ; mem_bank[251] = 'h00 ; mem_bank[252] = 'h00 ; mem_bank[253] = 'h00 ; mem_bank[254] = 'h00 ; mem_bank[255] = 'h00 ; mem_bank[256] = 'h00 ; mem_bank[257] = 'h00 ;
321
        mem_bank[258] = 'h00 ; mem_bank[259] = 'h00 ; mem_bank[260] = 'h00 ; mem_bank[261] = 'h00 ; mem_bank[262] = 'h00 ; mem_bank[263] = 'h00 ; mem_bank[264] = 'h00 ; mem_bank[265] = 'h00 ; mem_bank[266] = 'h00 ; mem_bank[267] = 'h00 ;
322
        mem_bank[268] = 'h00 ; mem_bank[269] = 'h00 ; mem_bank[270] = 'h00 ; mem_bank[271] = 'h00 ; mem_bank[272] = 'h00 ; mem_bank[273] = 'h00 ; mem_bank[274] = 'h00 ; mem_bank[275] = 'h00 ; mem_bank[276] = 'h00 ; mem_bank[277] = 'h00 ;
323
        mem_bank[278] = 'h00 ; mem_bank[279] = 'h00 ; mem_bank[280] = 'h00 ; mem_bank[281] = 'h00 ; mem_bank[282] = 'h00 ; mem_bank[283] = 'h00 ; mem_bank[284] = 'h00 ; mem_bank[285] = 'h00 ; mem_bank[286] = 'h00 ; mem_bank[287] = 'h00 ;
324
        mem_bank[288] = 'h00 ; mem_bank[289] = 'h00 ; mem_bank[290] = 'h00 ; mem_bank[291] = 'h00 ; mem_bank[292] = 'h00 ; mem_bank[293] = 'h00 ;
325
    end
326
    always @ (posedge clock)
327
    begin
328
        r_data<=data;
329
        r_wraddress<=wraddress;
330
        r_rdaddress_a<=rdaddress_a;
331
        r_rdaddress_b<=rdaddress_b;
332
        r_wren<=wren;
333
    end
334
    assign qa =mem_bank[r_rdaddress_a];
335
    assign qb =mem_bank[r_rdaddress_b];
336
endmodule
337
 
338
 
339
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.