OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [boards/] [altera-ep1c20/] [leon3mp.qsf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
# Pin & Location Assignments
2
# ==========================
3
set_location_assignment PIN_A14 -to eth_nads
4
set_location_assignment PIN_C17 -to eth_lclk
5
set_location_assignment PIN_B17 -to eth_ncycle
6
set_location_assignment PIN_A17 -to eth_wnr
7
set_location_assignment PIN_B18 -to eth_nrdyrtn
8
set_location_assignment PIN_C15 -to eth_ndatacs
9
 
10
set_location_assignment PIN_B15 -to eth_aen
11
set_location_assignment PIN_C16 -to eth_nbe[0]
12
set_location_assignment PIN_B16 -to eth_nbe[1]
13
set_location_assignment PIN_D16 -to eth_nbe[2]
14
set_location_assignment PIN_E16 -to eth_nbe[3]
15
set_location_assignment PIN_A15 -to eth_readn
16
set_location_assignment PIN_E15 -to eth_writen
17
 
18
set_location_assignment PIN_D15 -to gpio[1] #ENET_INTRQ
19
 
20
#set_location_assignment PIN_F14 -to ENET_IOCHRDY
21
#set_location_assignment PIN_D3 -to ENET_LDEV_N
22
#set_location_assignment PIN_M8 -to ENET_SRDY_N
23
 
24
# FLASH
25
set_location_assignment PIN_A12 -to romsn
26
set_location_assignment PIN_B12 -to oen
27
set_location_assignment PIN_D12 -to writen
28
 
29
# SRAM
30
set_location_assignment PIN_V17 -to mben[3]
31
set_location_assignment PIN_V16 -to mben[2]
32
set_location_assignment PIN_W16 -to mben[1]
33
set_location_assignment PIN_T16 -to mben[0]
34
set_location_assignment PIN_W17 -to ramsn
35
set_location_assignment PIN_Y17 -to ramoen
36
set_location_assignment PIN_U16 -to rwen
37
 
38
# SDRAM
39
set_location_assignment PIN_M2 -to sa[0]
40
set_location_assignment PIN_M1 -to sa[1]
41
set_location_assignment PIN_M6 -to sa[2]
42
set_location_assignment PIN_M4 -to sa[3]
43
set_location_assignment PIN_J8 -to sa[4]
44
set_location_assignment PIN_J7 -to sa[5]
45
set_location_assignment PIN_J6 -to sa[6]
46
set_location_assignment PIN_J5 -to sa[7]
47
set_location_assignment PIN_J4 -to sa[8]
48
set_location_assignment PIN_J3 -to sa[9]
49
set_location_assignment PIN_H6 -to sa[10]
50
set_location_assignment PIN_H5 -to sa[11]
51
set_location_assignment PIN_H7 -to sdba[0]
52
set_location_assignment PIN_H1 -to sdba[1]
53
set_location_assignment PIN_M5 -to sd[0]
54
set_location_assignment PIN_M3 -to sd[1]
55
set_location_assignment PIN_M7 -to sd[2]
56
set_location_assignment PIN_N6 -to sd[3]
57
set_location_assignment PIN_N1 -to sd[4]
58
set_location_assignment PIN_N2 -to sd[5]
59
set_location_assignment PIN_N4 -to sd[6]
60
set_location_assignment PIN_N3 -to sd[7]
61
set_location_assignment PIN_N5 -to sd[8]
62
set_location_assignment PIN_N7 -to sd[9]
63
set_location_assignment PIN_P7 -to sd[10]
64
set_location_assignment PIN_P2 -to sd[11]
65
set_location_assignment PIN_P1 -to sd[12]
66
set_location_assignment PIN_P6 -to sd[13]
67
set_location_assignment PIN_P5 -to sd[14]
68
set_location_assignment PIN_P3 -to sd[15]
69
set_location_assignment PIN_P4 -to sd[16]
70
set_location_assignment PIN_R1 -to sd[17]
71
set_location_assignment PIN_R2 -to sd[18]
72
set_location_assignment PIN_R6 -to sd[19]
73
set_location_assignment PIN_R5 -to sd[20]
74
set_location_assignment PIN_R3 -to sd[21]
75
set_location_assignment PIN_R4 -to sd[22]
76
set_location_assignment PIN_T4 -to sd[23]
77
set_location_assignment PIN_T2 -to sd[24]
78
set_location_assignment PIN_T3 -to sd[25]
79
set_location_assignment PIN_U1 -to sd[26]
80
set_location_assignment PIN_U4 -to sd[27]
81
set_location_assignment PIN_U2 -to sd[28]
82
set_location_assignment PIN_U3 -to sd[29]
83
set_location_assignment PIN_V3 -to sd[30]
84
set_location_assignment PIN_V2 -to sd[31]
85
set_location_assignment PIN_J2 -to sddqm[0]
86
set_location_assignment PIN_J1 -to sddqm[1]
87
set_location_assignment PIN_H4 -to sddqm[2]
88
set_location_assignment PIN_H3 -to sddqm[3]
89
set_location_assignment PIN_G3 -to sdcasn
90
set_location_assignment PIN_G7 -to sdcke
91
set_location_assignment PIN_L13 -to sdclk
92
set_location_assignment PIN_G6 -to sdcsn
93
set_location_assignment PIN_H2 -to sdrasn
94
set_location_assignment PIN_G4 -to sdwen
95
                                              #Little-endian
96
set_location_assignment PIN_F10 -to data[0]   #PIN_C6
97
set_location_assignment PIN_C10 -to data[1]   #PIN_E6
98
set_location_assignment PIN_D10 -to data[2]   #PIN_B6
99
set_location_assignment PIN_C11 -to data[3]   #PIN_A6
100
set_location_assignment PIN_D11 -to data[4]   #PIN_F7
101
set_location_assignment PIN_B11 -to data[5]   #PIN_E7
102
set_location_assignment PIN_A11 -to data[6]   #PIN_B7
103
set_location_assignment PIN_E11 -to data[7]   #PIN_A7
104
set_location_assignment PIN_B9  -to data[8]   #PIN_D7
105
set_location_assignment PIN_A9  -to data[9]   #PIN_C7
106
set_location_assignment PIN_D9  -to data[10]  #PIN_F8
107
set_location_assignment PIN_C9  -to data[11]  #PIN_E8
108
set_location_assignment PIN_E9  -to data[12]  #PIN_B8
109
set_location_assignment PIN_E10 -to data[13]  #PIN_A8
110
set_location_assignment PIN_B10 -to data[14]  #PIN_D8
111
set_location_assignment PIN_A10 -to data[15]  #PIN_C8
112
set_location_assignment PIN_D7  -to data[16]  #PIN_B9
113
set_location_assignment PIN_C7  -to data[17]  #PIN_A9
114
set_location_assignment PIN_F8  -to data[18]  #PIN_D9
115
set_location_assignment PIN_E8  -to data[19]  #PIN_C9
116
set_location_assignment PIN_B8  -to data[20]  #PIN_E9
117
set_location_assignment PIN_A8  -to data[21] #PIN_E10
118
set_location_assignment PIN_D8  -to data[22] #PIN_B10
119
set_location_assignment PIN_C8  -to data[23] #PIN_A10
120
set_location_assignment PIN_C6  -to data[24] #PIN_F10
121
set_location_assignment PIN_E6  -to data[25] #PIN_C10
122
set_location_assignment PIN_B6  -to data[26] #PIN_D10
123
set_location_assignment PIN_A6  -to data[27] #PIN_C11
124
set_location_assignment PIN_F7  -to data[28] #PIN_D11
125
set_location_assignment PIN_E7  -to data[29] #PIN_B11
126
set_location_assignment PIN_B7  -to data[30] #PIN_A11
127
set_location_assignment PIN_A7  -to data[31] #PIN_E11
128
set_location_assignment PIN_B4 -to address[0]
129
set_location_assignment PIN_A4 -to address[1]
130
set_location_assignment PIN_D5 -to address[2]
131
set_location_assignment PIN_D6 -to address[3]
132
set_location_assignment PIN_C5 -to address[4]
133
set_location_assignment PIN_B5 -to address[5]
134
set_location_assignment PIN_C2 -to address[6]
135
set_location_assignment PIN_D2 -to address[7]
136
set_location_assignment PIN_D4 -to address[8]
137
set_location_assignment PIN_D1 -to address[9]
138
set_location_assignment PIN_E4 -to address[10]
139
set_location_assignment PIN_E5 -to address[11]
140
set_location_assignment PIN_F3 -to address[12]
141
set_location_assignment PIN_E3 -to address[13]
142
set_location_assignment PIN_E2 -to address[14]
143
set_location_assignment PIN_F4 -to address[15]
144
set_location_assignment PIN_F5 -to address[16]
145
set_location_assignment PIN_F2 -to address[17]
146
set_location_assignment PIN_F1 -to address[18]
147
set_location_assignment PIN_F6 -to address[19]
148
set_location_assignment PIN_G5 -to address[20]
149
set_location_assignment PIN_G1 -to address[21]
150
set_location_assignment PIN_G2 -to address[22]
151
 
152
#Leds
153
set_location_assignment PIN_E14 -to errorn
154
set_location_assignment PIN_E13 -to dsuact
155
#set_location_assignment PIN_D14 -to LEDG[3]
156
#set_location_assignment PIN_E12 -to LEDG[4]
157
#set_location_assignment PIN_F12 -to LEDG[5]
158
#set_location_assignment PIN_B3 -to LEDG[6]
159
#set_location_assignment PIN_B14 -to LEDG[7]
160
 
161
set_location_assignment PIN_K5 -to clk
162
set_location_assignment PIN_L8 -to clkout
163
set_location_assignment PIN_L14 -to pllref
164
 
165
set_location_assignment PIN_K16 -to rxd1
166
set_location_assignment PIN_C13 -to dsurx
167
set_location_assignment PIN_M14 -to txd1
168
set_location_assignment PIN_A13 -to dsutx
169
 
170
#Buttons
171
set_location_assignment PIN_V4 -to resetn
172
set_location_assignment PIN_W4 -to dsubren
173
#set_location_assignment PIN_W3 -to dsuen_button
174
#set_location_assignment PIN_Y4 -to USER_PB[1]
175
 
176
#CompactFlash
177
set_location_assignment PIN_G17 -to ata_intrq
178
set_location_assignment PIN_G14 -to ata_iordy
179
set_location_assignment PIN_G19 -to ata_dior
180
set_location_assignment PIN_G20 -to ata_diow
181
set_location_assignment PIN_H20 -to ata_cs0
182
set_location_assignment PIN_U19 -to ata_cs1
183
set_location_assignment PIN_H17 -to ata_da[0]
184
set_location_assignment PIN_H18 -to ata_da[1]
185
set_location_assignment PIN_H19 -to ata_da[2]
186
set_location_assignment PIN_W18 -to cf_gnd_da[3]
187
set_location_assignment PIN_K15 -to cf_gnd_da[4]
188
set_location_assignment PIN_J18 -to cf_gnd_da[5]
189
set_location_assignment PIN_J17 -to cf_gnd_da[6]
190
set_location_assignment PIN_J14 -to cf_gnd_da[7]
191
set_location_assignment PIN_H14 -to cf_gnd_da[8]
192
set_location_assignment PIN_J20 -to cf_gnd_da[9]
193
set_location_assignment PIN_J15 -to cf_gnd_da[10]
194
set_location_assignment PIN_F20 -to ata_data[0]
195
set_location_assignment PIN_F15 -to ata_data[1]
196
set_location_assignment PIN_E19 -to ata_data[2]
197
set_location_assignment PIN_F18 -to ata_data[3]
198
set_location_assignment PIN_E17 -to ata_data[4]
199
set_location_assignment PIN_D17 -to ata_data[5]
200
set_location_assignment PIN_D18 -to ata_data[6]
201
set_location_assignment PIN_C18 -to ata_data[7]
202
set_location_assignment PIN_C19 -to ata_data[8]
203
set_location_assignment PIN_D19 -to ata_data[9]
204
set_location_assignment PIN_D20 -to ata_data[10]
205
set_location_assignment PIN_F17 -to ata_data[11]
206
set_location_assignment PIN_E18 -to ata_data[12]
207
set_location_assignment PIN_F16 -to ata_data[13]
208
set_location_assignment PIN_F19 -to ata_data[14]
209
set_location_assignment PIN_G16 -to ata_data[15]
210
set_location_assignment PIN_D13 -to cf_atasel
211
set_location_assignment PIN_U20 -to ata_dmack
212
set_location_assignment PIN_V18 -to cf_we
213
set_location_assignment PIN_M13 -to cf_power
214
set_location_assignment PIN_H15 -to cf_csel
215
 
216
#set_location_assignment PIN_D13 -to CF_ATASEL_N
217
#set_location_assignment PIN_M13 -to CF_POWER
218
#set_location_assignment PIN_B13 -to CF_PRESENT_N
219
 
220
#set_location_assignment PIN_G17 -to cf_ide_INTRQ
221
#set_location_assignment PIN_G14 -to cf_ide_IORDY
222
#set_location_assignment PIN_G19 -to cf_ide_IORDn
223
#set_location_assignment PIN_W8 -to cf_ide_IOSn
224
#set_location_assignment PIN_G20 -to cf_ide_IOWRn
225
#set_location_assignment PIN_H17 -to cf_ide_a[0]
226
#set_location_assignment PIN_H18 -to cf_ide_a[1]
227
#set_location_assignment PIN_H19 -to cf_ide_a[2]
228
#set_location_assignment PIN_W18 -to cf_ide_a[3]
229
#set_location_assignment PIN_K15 -to cf_ide_a[4]
230
#set_location_assignment PIN_J18 -to cf_ide_a[5]
231
#set_location_assignment PIN_J17 -to cf_ide_a[6]
232
#set_location_assignment PIN_J14 -to cf_ide_a[7]
233
#set_location_assignment PIN_H14 -to cf_ide_a[8]
234
#set_location_assignment PIN_J20 -to cf_ide_a[9]
235
#set_location_assignment PIN_J15 -to cf_ide_a[10]
236
#set_location_assignment PIN_H20 -to cf_ide_cs0n
237
#set_location_assignment PIN_U19 -to cf_ide_cs1n
238
#set_location_assignment PIN_H15 -to cf_ide_csel
239
#set_location_assignment PIN_U12 -to cf_ide_dasp
240
#set_location_assignment PIN_F20 -to cf_ide_data[0]
241
#set_location_assignment PIN_F15 -to cf_ide_data[1]
242
#set_location_assignment PIN_E19 -to cf_ide_data[2]
243
#set_location_assignment PIN_F18 -to cf_ide_data[3]
244
#set_location_assignment PIN_E17 -to cf_ide_data[4]
245
#set_location_assignment PIN_D17 -to cf_ide_data[5]
246
#set_location_assignment PIN_D18 -to cf_ide_data[6]
247
#set_location_assignment PIN_C18 -to cf_ide_data[7]
248
#set_location_assignment PIN_C19 -to cf_ide_data[8]
249
#set_location_assignment PIN_D19 -to cf_ide_data[9]
250
#set_location_assignment PIN_D20 -to cf_ide_data[10]
251
#set_location_assignment PIN_F17 -to cf_ide_data[11]
252
#set_location_assignment PIN_E18 -to cf_ide_data[12]
253
#set_location_assignment PIN_F16 -to cf_ide_data[13]
254
#set_location_assignment PIN_F19 -to cf_ide_data[14]
255
#set_location_assignment PIN_G16 -to cf_ide_data[15]
256
#set_location_assignment PIN_V19 -to cf_ide_inpack
257
#set_location_assignment PIN_J19 -to cf_ide_pdiag
258
#set_location_assignment PIN_R11 -to cf_ide_reg
259
#set_location_assignment PIN_V18 -to cf_ide_we
260
 
261
# Analysis & Synthesis Assignments
262
# ================================
263
set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA
264
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 400
265
set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 7
266
set_global_assignment -name FAMILY Cyclone
267
#set_global_assignment -name TOP_LEVEL_ENTITY leon_top_ata
268
 
269
# Fitter Assignments
270
# ==================
271
set_global_assignment -name DEVICE EP1C20F400C7
272
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
273
 
274
#CompactFlash
275
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to ata_intrq
276
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to ata_iordy
277
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to ata_dior
278
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to ata_diow
279
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to ata_cs0
280
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to ata_cs1
281
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to ata_da[0]
282
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to ata_da[1]
283
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to ata_da[2]
284
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to ata_data[0]
285
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to ata_data[1]
286
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to ata_data[2]
287
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to ata_data[3]
288
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to ata_data[4]
289
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to ata_data[5]
290
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to ata_data[6]
291
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to ata_data[7]
292
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to ata_data[8]
293
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to ata_data[9]
294
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to ata_data[10]
295
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to ata_data[11]
296
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to ata_data[12]
297
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to ata_data[13]
298
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to ata_data[14]
299
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to ata_data[15]
300
 
301
 
302
# Simulator Assignments
303
# =====================
304
set_global_assignment -name GLITCH_INTERVAL "1 "
305
 
306
# LogicLock Region Assignments
307
# ============================
308
set_global_assignment -name LOGICLOCK_INCREMENTAL_COMPILE_ASSIGNMENT off
309
 
310
 
311
 
312
 
313
##
314
        set_instance_assignment -name PRESERVE_HIERARCHICAL_BOUNDARY FIRM -to "ahbrom:\\bpromgen:brom"
315
 
316
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[21\]
317
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[22\]
318
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[23\]
319
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[24\]
320
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[25\]
321
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[26\]
322
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[27\]
323
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[28\]
324
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[29\]
325
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[30\]
326
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[31\]
327
 
328
        # Fitter Assignments
329
        # ==================
330
        set_instance_assignment -name GLOBAL_SIGNAL ON -to clk
331
        set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to clk
332
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[0]
333
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[1]
334
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[2]
335
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[3]
336
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[4]
337
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[5]
338
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[6]
339
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[7]
340
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[8]
341
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[9]
342
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[10]
343
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[11]
344
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[12]
345
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[13]
346
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[14]
347
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[15]
348
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[16]
349
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[17]
350
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[18]
351
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[19]
352
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[20]
353
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[21]
354
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[22]
355
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[0]
356
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[1]
357
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[2]
358
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[3]
359
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[4]
360
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[5]
361
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[6]
362
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[7]
363
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[8]
364
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[9]
365
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[10]
366
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[11]
367
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[12]
368
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[13]
369
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[14]
370
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[15]
371
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[16]
372
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[17]
373
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[18]
374
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[19]
375
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[20]
376
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[21]
377
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[22]
378
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[23]
379
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[24]
380
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[25]
381
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[26]
382
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[27]
383
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[28]
384
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[29]
385
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[30]
386
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[31]
387
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to sdba[0]
388
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to sdba[1]
389
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to sdcasn
390
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to sdclk
391
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to sdcsn
392
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to sddqm[0]
393
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to sddqm[1]
394
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to sddqm[2]
395
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to sddqm[3]
396
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to sdrasn
397
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to sdwen
398
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to writen

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.