OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [boards/] [altera-ep3c25/] [leon3mp.qsf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
# Copyright (C) 1991-2006 Altera Corporation
2
# Your use of Altera Corporation's design tools, logic functions
3
# and other software and tools, and its AMPP partner logic
4
# functions, and any output files any of the foregoing
5
# (including device programming or simulation files), and any
6
# associated documentation or information are expressly subject
7
# to the terms and conditions of the Altera Program License
8
# Subscription Agreement, Altera MegaCore Function License
9
# Agreement, or other applicable license agreement, including,
10
# without limitation, that your use is for the sole purpose of
11
# programming logic devices manufactured by Altera and sold by
12
# Altera or its authorized distributors.  Please refer to the
13
# applicable agreement for further details.
14
 
15
 
16
# The default values for assignments are stored in the file
17
#               full_featured_assignment_defaults.qdf
18
# If this file doesn't exist, and for assignments not listed, see file
19
#               assignment_defaults.qdf
20
 
21
# Altera recommends that you do not modify this file. This
22
# file is updated automatically by the Quartus II software
23
# and any changes you make may be lost or overwritten.
24
 
25
 
26
set_global_assignment -name FAMILY "Cyclone III"
27
set_global_assignment -name DEVICE EP3C25F324C8
28
#set_global_assignment -name TOP_LEVEL_ENTITY full_featured
29
#set_global_assignment -name ORIGINAL_QUARTUS_VERSION 6.0
30
#set_global_assignment -name PROJECT_CREATION_TIME_DATE "12:54:53  MAY 22, 2006"
31
#set_global_assignment -name LAST_QUARTUS_VERSION "6.0 SP1"
32
#set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA
33
#set_global_assignment -name DEVICE_FILTER_PIN_COUNT 672
34
#set_global_assignment -name DEVICE_FILTER_SPEED_GRADE FASTEST
35
#set_global_assignment -name BDF_FILE full_featured.bdf
36
#set_global_assignment -name POST_FLOW_SCRIPT_FILE "quartus_sh:auto_verify_ddr_timing.tcl"
37
#set_location_assignment PIN_V25 -to address[0]
38
set_location_assignment PIN_E12 -to address[1]
39
set_location_assignment PIN_A16 -to address[2]
40
set_location_assignment PIN_B16 -to address[3]
41
set_location_assignment PIN_A15 -to address[4]
42
set_location_assignment PIN_B15 -to address[5]
43
set_location_assignment PIN_A14 -to address[6]
44
set_location_assignment PIN_B14 -to address[7]
45
set_location_assignment PIN_A13 -to address[8]
46
set_location_assignment PIN_B13 -to address[9]
47
set_location_assignment PIN_A12 -to address[10]
48
set_location_assignment PIN_B12 -to address[11]
49
set_location_assignment PIN_A11 -to address[12]
50
set_location_assignment PIN_B11 -to address[13]
51
set_location_assignment PIN_C10 -to address[14]
52
set_location_assignment PIN_D10 -to address[15]
53
set_location_assignment PIN_E10 -to address[16]
54
set_location_assignment PIN_C9  -to address[17]
55
set_location_assignment PIN_D9  -to address[18]
56
set_location_assignment PIN_A7  -to address[19]
57
set_location_assignment PIN_A6  -to address[20]
58
set_location_assignment PIN_B18 -to address[21]
59
set_location_assignment PIN_C17 -to address[22]
60
set_location_assignment PIN_C18 -to address[23]
61
set_location_assignment PIN_G14 -to address[24]
62
set_location_assignment PIN_B17 -to address[25]
63
#big endian encoding of data bus
64
set_location_assignment PIN_C16 -to data[0] #flash_sramdq[16]
65
set_location_assignment PIN_D12 -to data[1] #flash_sramdq[17]
66
set_location_assignment PIN_E11 -to data[2] #flash_sramdq[18]
67
set_location_assignment PIN_D2  -to data[3] #flash_sramdq[19]
68
set_location_assignment PIN_E13 -to data[4] #flash_sramdq[20]
69
set_location_assignment PIN_E14 -to data[5] #flash_sramdq[21]
70
set_location_assignment PIN_A17 -to data[6] #flash_sramdq[22]
71
set_location_assignment PIN_D16 -to data[7] #flash_sramdq[23]
72
set_location_assignment PIN_C12 -to data[8] #flash_sramdq[24]
73
set_location_assignment PIN_A18 -to data[9] #flash_sramdq[25]
74
set_location_assignment PIN_F8  -to data[10] #flash_sramdq[26]
75
set_location_assignment PIN_D7  -to data[11] #flash_sramdq[27]
76
set_location_assignment PIN_F6  -to data[12] #flash_sramdq[28]
77
set_location_assignment PIN_E6  -to data[13] #flash_sramdq[29]
78
set_location_assignment PIN_G6  -to data[14] #flash_sramdq[30]
79
set_location_assignment PIN_C7  -to data[15] #flash_sramdq[31]
80
set_location_assignment PIN_H3  -to data[16] #flash_sramdq[0]
81
set_location_assignment PIN_D1  -to data[17] #flash_sramdq[1]
82
set_location_assignment PIN_A8  -to data[18] #flash_sramdq[2]
83
set_location_assignment PIN_B8  -to data[19] #flash_sramdq[3]
84
set_location_assignment PIN_B7  -to data[20] #flash_sramdq[4]
85
set_location_assignment PIN_C5  -to data[21] #flash_sramdq[5]
86
set_location_assignment PIN_E8  -to data[22] #flash_sramdq[6]
87
set_location_assignment PIN_A4  -to data[23] #flash_sramdq[7]
88
set_location_assignment PIN_B4  -to data[24] #flash_sramdq[8]
89
set_location_assignment PIN_E7  -to data[25] #flash_sramdq[9]
90
set_location_assignment PIN_A3  -to data[26] #flash_sramdq[10]
91
set_location_assignment PIN_B3  -to data[27] #flash_sramdq[11]
92
set_location_assignment PIN_D5  -to data[28] #flash_sramdq[12]
93
set_location_assignment PIN_B5  -to data[29] #flash_sramdq[13]
94
set_location_assignment PIN_A5  -to data[30] #flash_sramdq[14]
95
set_location_assignment PIN_B6  -to data[31] #flash_sramdq[15]
96
set_location_assignment PIN_H6   -to rxd1 #MSMC_D0
97
set_location_assignment PIN_D3   -to txd1 #MSMC_D1
98
set_location_assignment PIN_F1 -to gpio[0] #KEY0
99
set_location_assignment PIN_F2 -to gpio[1] #KEY1
100
set_location_assignment PIN_A10 -to gpio[2] #KEY2
101
set_location_assignment PIN_B10 -to dsubren #KEY3
102
set_location_assignment PIN_P13 -to dsuact  #LED0
103
set_location_assignment PIN_N12 -to errorn  #LED2
104
set_location_assignment PIN_D17 -to oen #flash_we_n
105
set_location_assignment PIN_E2  -to romsn #flash_ce_n
106
set_location_assignment PIN_D18 -to writen #flash_we_n
107
set_location_assignment PIN_C3  -to rstoutn #flash_reset_n
108
 
109
set_location_assignment PIN_F7  -to ssram_adscn #sram_adsc_n
110
set_location_assignment PIN_F10 -to ssram_bw[2] #sram_be_n[0]
111
set_location_assignment PIN_F11 -to ssram_bw[3] #sram_be_n[1]
112
set_location_assignment PIN_F12 -to ssram_bw[0] #sram_be_n[2]
113
set_location_assignment PIN_F13 -to ssram_bw[1] #sram_be_n[3]
114
set_location_assignment PIN_G13 -to ssram_wen #sram_we_n
115
set_location_assignment PIN_F9  -to ssram_cen #sram_ce1_n
116
set_location_assignment PIN_E9  -to ssram_oen #sram_oe_n
117
set_location_assignment PIN_A2  -to ssram_clk
118
 
119
set_location_assignment PIN_B9  -to clk #osc_clk1
120
set_location_assignment PIN_N2 -to resetn #pld_clear_n
121
set_location_assignment PIN_U2 -to ddr_clk #clk_to_sdram[0]
122
set_location_assignment PIN_V2 -to ddr_clkn #clk_to_sdram_n[0]
123
set_location_assignment PIN_U1 -to ddr_ad[0]
124
set_location_assignment PIN_U5 -to ddr_ad[1]
125
set_location_assignment PIN_U7 -to ddr_ad[2]
126
set_location_assignment PIN_U8 -to ddr_ad[3]
127
set_location_assignment PIN_P8 -to ddr_ad[4]
128
set_location_assignment PIN_P7  -to ddr_ad[5]
129
set_location_assignment PIN_P6  -to ddr_ad[6]
130
set_location_assignment PIN_T14 -to ddr_ad[7]
131
set_location_assignment PIN_T13 -to ddr_ad[8]
132
set_location_assignment PIN_V13 -to ddr_ad[9]
133
set_location_assignment PIN_U17 -to ddr_ad[10]
134
set_location_assignment PIN_V17 -to ddr_ad[11]
135
set_location_assignment PIN_U16 -to ddr_ad[12]
136
set_location_assignment PIN_V11 -to ddr_ba[0]
137
set_location_assignment PIN_V12 -to ddr_ba[1]
138
set_location_assignment PIN_T4 -to ddr_casb
139
set_location_assignment PIN_R13 -to ddr_cke
140
set_location_assignment PIN_V1  -to ddr_csb
141
set_location_assignment PIN_V16 -to ddr_rasb
142
set_location_assignment PIN_U15 -to ddr_web
143
set_location_assignment PIN_U4 -to ddr_dq[0]
144
set_location_assignment PIN_V4 -to ddr_dq[1]
145
set_location_assignment PIN_R8 -to ddr_dq[2]
146
set_location_assignment PIN_V5 -to ddr_dq[3]
147
set_location_assignment PIN_P9  -to ddr_dq[4]
148
set_location_assignment PIN_U6  -to ddr_dq[5]
149
set_location_assignment PIN_V6  -to ddr_dq[6]
150
set_location_assignment PIN_V7  -to ddr_dq[7]
151
set_location_assignment PIN_U13 -to ddr_dq[8]
152
set_location_assignment PIN_U12 -to ddr_dq[9]
153
set_location_assignment PIN_U11 -to ddr_dq[10]
154
set_location_assignment PIN_V15 -to ddr_dq[11]
155
set_location_assignment PIN_U14 -to ddr_dq[12]
156
set_location_assignment PIN_R11 -to ddr_dq[13]
157
set_location_assignment PIN_P10 -to ddr_dq[14]
158
set_location_assignment PIN_V14 -to ddr_dq[15]
159
set_location_assignment PIN_U3 -to ddr_dqs[0]
160
set_location_assignment PIN_T8 -to ddr_dqs[1]
161
set_location_assignment PIN_V3  -to ddr_dm[0]
162
set_location_assignment PIN_V8 -to ddr_dm[1]
163
 
164
#set_global_assignment -name STRATIXII_CONFIGURATION_SCHEME "ACTIVE SERIAL"
165
#set_global_assignment -name GENERATE_TTF_FILE ON
166
#set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
167
set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "use as regular io"
168
set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "use as regular io"
169
set_global_assignment -name reserve_nws_nrs_ncs_cs_after_configuration "use as regular io"
170
set_global_assignment -name reserve_flash_nce_after_configuration "use as regular io"
171
set_global_assignment -name reserve_nceo_after_configuration "use as regular io"
172
set_global_assignment -name reserve_dclk_after_configuration "use as regular io"
173
set_global_assignment -name reserve_asdo_after_configuration "use as regular io"
174
#set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"
175
#set_global_assignment -name STRATIXII_CONFIGURATION_DEVICE EPCS64
176
#set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "AS INPUT TRI-STATED"
177
#set_global_assignment -name USE_CONFIGURATION_DEVICE OFF
178
 
179
set_instance_assignment -name OUTPUT_ENABLE_GROUP 1 -to ddr_dm
180
set_instance_assignment -name OUTPUT_ENABLE_GROUP 1 -to ddr_dqs
181
set_instance_assignment -name OUTPUT_ENABLE_GROUP 1 -to ddr_dq
182
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_rasb
183
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_casb
184
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_web
185
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_cke
186
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_ad[0]
187
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_ad[1]
188
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_ad[2]
189
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_ad[3]
190
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_ad[4]
191
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_ad[5]
192
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_ad[6]
193
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_ad[7]
194
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_ad[8]
195
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_ad[9]
196
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_ad[10]
197
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_ad[11]
198
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_ad[12]
199
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_ba[0]
200
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_ba[1]
201
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_csb
202
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_dm[0]
203
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_dm[1]
204
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_dq[0]
205
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_dq[1]
206
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_dq[2]
207
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_dq[3]
208
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_dq[4]
209
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_dq[5]
210
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_dq[6]
211
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_dq[7]
212
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_dq[8]
213
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_dq[9]
214
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_dq[10]
215
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_dq[11]
216
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_dq[12]
217
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_dq[13]
218
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_dq[14]
219
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_dq[15]
220
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_dqs[0]
221
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_dqs[1]
222
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_clk #clk_to_sdram[0]
223
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS II" -to ddr_clkn #clk_to_sdram_n[0]
224
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to ddr_rasb
225
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to ddr_casb
226
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to ddr_web
227
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to ddr_cke
228
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to ddr_ad[0]
229
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to ddr_ad[1]
230
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to ddr_ad[2]
231
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to ddr_ad[3]
232
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to ddr_ad[4]
233
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to ddr_ad[5]
234
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to ddr_ad[6]
235
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to ddr_ad[7]
236
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to ddr_ad[8]
237
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to ddr_ad[9]
238
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to ddr_ad[10]
239
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to ddr_ad[11]
240
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to ddr_ad[12]
241
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to ddr_ba[0]
242
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to ddr_ba[1]
243
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to ddr_csb
244
set_instance_assignment -name IO_STANDARD "2.5-V" -to clock_source
245
set_instance_assignment -name CUT ON -from ddr_dqs[0] -to *
246
set_instance_assignment -name CUT ON -from ddr_dqs[1] -to *
247
#set_location_assignment IOBANK_4 -to ddr_clk
248
#set_location_assignment IOBANK_4 -to ddr_clkn
249
set_global_assignment -name ASSIGNMENT_GROUP_MEMBER ddr_clk -section_id ddr_sdram_clk_out_pair
250
set_global_assignment -name ASSIGNMENT_GROUP_MEMBER ddr_clkn -section_id ddr_sdram_clk_out_pair
251
set_instance_assignment -name MAX_DATA_ARRIVAL_SKEW "100 ps" -from "ddrspa:\ddrsp0:ddrc0|ddr_phy:ddr_phy0|altera_ddr_phy:\alt:ddr_phy0|altpll:dll|_clk0" -to ddr_sdram_clk_out_pair
252
#set_instance_assignment -name MAX_DATA_ARRIVAL_SKEW "100 ps" -from "ddr_pll_stratixii:g_stratixpll_ddr_pll_inst|altpll:altpll_component|_clk0" -to ddr_sdram_clk_out_pair
253
#set_instance_assignment -name TPD_REQUIREMENT "1.6 ns" -from *captured_* -to *resynched_data*
254
set_instance_assignment -name ADV_NETLIST_OPT_ALLOWED "NEVER ALLOW" -to "full_2s60:inst|ddr_sdram:the_ddr_sdram|ddr_sdram_auk_ddr_sdram:ddr_sdram_auk_ddr_sdram_inst|ddr_sdram_auk_ddr_datapath:ddr_io|ddr_sdram_auk_ddr_dqs_group:\\g_datapath:0:g_ddr_io"
255
set_instance_assignment -name REMOVE_DUPLICATE_REGISTERS OFF -to "full_2s60:inst|ddr_sdram:the_ddr_sdram|ddr_sdram_auk_ddr_sdram:ddr_sdram_auk_ddr_sdram_inst|ddr_sdram_auk_ddr_datapath:ddr_io|ddr_sdram_auk_ddr_dqs_group:\\g_datapath:0:g_ddr_io"
256
 
257
set_instance_assignment -name CUT ON -from "clkgen:clkgen0|clkgen_cycloneiii:\cyc3:v|cyclone3_pll:sdclk_pll|altpll:\sden:altpll0|altpll_esv:auto_generated|clk[0]" -to "ddrspa:\ddrsp0:ddrc0|ddr_phy:ddr_phy0|ddrphy:ddr_phy0|cycloneiii_ddr_phy:\cyc3:ddr_phy0|apll:pll0|altpll:altpll_component|apll_altpll:auto_generated|wire_pll1_clk[0]"
258
set_instance_assignment -name CUT ON -from "ddrspa:\ddrsp0:ddrc0|ddr_phy:ddr_phy0|ddrphy:ddr_phy0|cycloneiii_ddr_phy:\cyc3:ddr_phy0|apll:pll0|altpll:altpll_component|apll_altpll:auto_generated|wire_pll1_clk[0]" -to "clkgen:clkgen0|clkgen_cycloneiii:\cyc3:v|cyclone3_pll:sdclk_pll|altpll:\sden:altpll0|altpll_esv:auto_generated|clk[0]"
259
 
260
set_global_assignment -name FMAX_REQUIREMENT "50.0 MHz"
261
 
262
#set_global_assignment -name FITTER_EFFORT "STANDARD FIT"
263
#set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC ON
264
#set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ON
265
#set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING ON
266
#set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING ON
267
#set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT EXTRA
268
 
269
set_global_assignment -name OPTIMIZE_HOLD_TIMING "ALL PATHS"
270
set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING OFF
271
set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP ON
272
set_global_assignment -name ADV_NETLIST_OPT_SYNTH_GATE_RETIME ON
273
set_global_assignment -name STRATIXII_OPTIMIZATION_TECHNIQUE SPEED
274
set_global_assignment -name OPTIMIZE_POWER_DURING_SYNTHESIS OFF
275
set_global_assignment -name STATE_MACHINE_PROCESSING "ONE-HOT"
276
 
277
set_instance_assignment -name OUTPUT_PIN_LOAD 4 -to ddr_dm[0]
278
set_instance_assignment -name OUTPUT_PIN_LOAD 4 -to ddr_dm[1]
279
set_instance_assignment -name OUTPUT_PIN_LOAD 4 -to ddr_dq[0]
280
set_instance_assignment -name OUTPUT_PIN_LOAD 4 -to ddr_dq[1]
281
set_instance_assignment -name OUTPUT_PIN_LOAD 4 -to ddr_dq[2]
282
set_instance_assignment -name OUTPUT_PIN_LOAD 4 -to ddr_dq[3]
283
set_instance_assignment -name OUTPUT_PIN_LOAD 4 -to ddr_dq[4]
284
set_instance_assignment -name OUTPUT_PIN_LOAD 4 -to ddr_dq[5]
285
set_instance_assignment -name OUTPUT_PIN_LOAD 4 -to ddr_dq[6]
286
set_instance_assignment -name OUTPUT_PIN_LOAD 4 -to ddr_dq[7]
287
set_instance_assignment -name OUTPUT_PIN_LOAD 4 -to ddr_dq[8]
288
set_instance_assignment -name OUTPUT_PIN_LOAD 4 -to ddr_dq[9]
289
set_instance_assignment -name OUTPUT_PIN_LOAD 4 -to ddr_dq[10]
290
set_instance_assignment -name OUTPUT_PIN_LOAD 4 -to ddr_dq[11]
291
set_instance_assignment -name OUTPUT_PIN_LOAD 4 -to ddr_dq[12]
292
set_instance_assignment -name OUTPUT_PIN_LOAD 4 -to ddr_dq[13]
293
set_instance_assignment -name OUTPUT_PIN_LOAD 4 -to ddr_dq[14]
294
set_instance_assignment -name OUTPUT_PIN_LOAD 4 -to ddr_dq[15]
295
set_instance_assignment -name OUTPUT_PIN_LOAD 4 -to ddr_dqs[0]
296
set_instance_assignment -name OUTPUT_PIN_LOAD 4 -to ddr_dqs[1]
297
set_instance_assignment -name OUTPUT_PIN_LOAD 2 -to ddr_rasb
298
set_instance_assignment -name OUTPUT_PIN_LOAD 2 -to ddr_casb
299
set_instance_assignment -name OUTPUT_PIN_LOAD 2 -to ddr_web
300
set_instance_assignment -name OUTPUT_PIN_LOAD 2 -to ddr_cke
301
set_instance_assignment -name OUTPUT_PIN_LOAD 2 -to ddr_ad[0]
302
set_instance_assignment -name OUTPUT_PIN_LOAD 2 -to ddr_ad[1]
303
set_instance_assignment -name OUTPUT_PIN_LOAD 2 -to ddr_ad[2]
304
set_instance_assignment -name OUTPUT_PIN_LOAD 2 -to ddr_ad[3]
305
set_instance_assignment -name OUTPUT_PIN_LOAD 2 -to ddr_ad[4]
306
set_instance_assignment -name OUTPUT_PIN_LOAD 2 -to ddr_ad[5]
307
set_instance_assignment -name OUTPUT_PIN_LOAD 2 -to ddr_ad[6]
308
set_instance_assignment -name OUTPUT_PIN_LOAD 2 -to ddr_ad[7]
309
set_instance_assignment -name OUTPUT_PIN_LOAD 2 -to ddr_ad[8]
310
set_instance_assignment -name OUTPUT_PIN_LOAD 2 -to ddr_ad[9]
311
set_instance_assignment -name OUTPUT_PIN_LOAD 2 -to ddr_ad[10]
312
set_instance_assignment -name OUTPUT_PIN_LOAD 2 -to ddr_ad[11]
313
set_instance_assignment -name OUTPUT_PIN_LOAD 2 -to ddr_ad[12]
314
set_instance_assignment -name OUTPUT_PIN_LOAD 2 -to ddr_ba[0]
315
set_instance_assignment -name OUTPUT_PIN_LOAD 2 -to ddr_ba[1]
316
set_instance_assignment -name OUTPUT_PIN_LOAD 2 -to ddr_csb
317
set_instance_assignment -name OUTPUT_PIN_LOAD 2 -to ddr_clk #clk_to_sdram[0]
318
set_instance_assignment -name OUTPUT_PIN_LOAD 2 -to ddr_clkn #clk_to_sdram_n[0]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.