OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [boards/] [altera-ep3c25-eek/] [leon3mp.qsf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
#board_class=altera_nios_dev_board_cyclone_3c25_SB
2
# Copyright (C) 1991-2007 Altera Corporation
3
# Your use of Altera Corporation's design tools, logic functions
4
# and other software and tools, and its AMPP partner logic
5
# functions, and any output files from any of the foregoing
6
# (including device programming or simulation files), and any
7
# associated documentation or information are expressly subject
8
# to the terms and conditions of the Altera Program License
9
# Subscription Agreement, Altera MegaCore Function License
10
# Agreement, or other applicable license agreement, including,
11
# without limitation, that your use is for the sole purpose of
12
# programming logic devices manufactured by Altera and sold by
13
# Altera or its authorized distributors.  Please refer to the
14
# applicable agreement for further details.
15
 
16
 
17
# The default values for assignments are stored in the file
18
#               cycloneIII_embedded_evaluation_kit_standard_assignment_defaults.qdf
19
# If this file doesn't exist, and for assignments not listed, see file
20
#               assignment_defaults.qdf
21
 
22
# Altera recommends that you do not modify this file. This
23
# file is updated automatically by the Quartus II software
24
# and any changes you make may be lost or overwritten.
25
 
26
 
27
set_global_assignment -name FAMILY "Cyclone III"
28
set_global_assignment -name DEVICE EP3C25F324C8
29
#set_global_assignment -name ORIGINAL_QUARTUS_VERSION 7.1
30
#set_global_assignment -name PROJECT_CREATION_TIME_DATE "13:37:31  MARCH 30, 2007"
31
#set_global_assignment -name LAST_QUARTUS_VERSION 7.2
32
#set_global_assignment -name TOP_LEVEL_ENTITY cycloneIII_embedded_evaluation_kit_standard
33
set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA
34
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 324
35
set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 8
36
 
37
set_location_assignment PIN_B9 -to clk
38
set_location_assignment PIN_N2 -to resetn
39
set_location_assignment PIN_U2 -to ddr_clk
40
set_location_assignment PIN_V2 -to ddr_clkn
41
set_location_assignment PIN_V1 -to ddr_csb
42
set_location_assignment PIN_R13 -to ddr_cke
43
set_location_assignment PIN_U1 -to ddr_ad[0]
44
set_location_assignment PIN_U5 -to ddr_ad[1]
45
set_location_assignment PIN_U7 -to ddr_ad[2]
46
set_location_assignment PIN_U8 -to ddr_ad[3]
47
set_location_assignment PIN_P8 -to ddr_ad[4]
48
set_location_assignment PIN_P7 -to ddr_ad[5]
49
set_location_assignment PIN_P6 -to ddr_ad[6]
50
set_location_assignment PIN_T14 -to ddr_ad[7]
51
set_location_assignment PIN_T13 -to ddr_ad[8]
52
set_location_assignment PIN_V13 -to ddr_ad[9]
53
set_location_assignment PIN_U17 -to ddr_ad[10]
54
set_location_assignment PIN_V17 -to ddr_ad[11]
55
set_location_assignment PIN_U16 -to ddr_ad[12]
56
set_location_assignment PIN_V11 -to ddr_ba[0]
57
set_location_assignment PIN_V12 -to ddr_ba[1]
58
set_location_assignment PIN_V16 -to ddr_rasb
59
set_location_assignment PIN_T4 -to ddr_casb
60
set_location_assignment PIN_U15 -to ddr_web
61
set_location_assignment PIN_U4 -to ddr_dq[0]
62
set_location_assignment PIN_V4 -to ddr_dq[1]
63
set_location_assignment PIN_R8 -to ddr_dq[2]
64
set_location_assignment PIN_V5 -to ddr_dq[3]
65
set_location_assignment PIN_P9 -to ddr_dq[4]
66
set_location_assignment PIN_U6 -to ddr_dq[5]
67
set_location_assignment PIN_V6 -to ddr_dq[6]
68
set_location_assignment PIN_V7 -to ddr_dq[7]
69
set_location_assignment PIN_U13 -to ddr_dq[8]
70
set_location_assignment PIN_U12 -to ddr_dq[9]
71
set_location_assignment PIN_U11 -to ddr_dq[10]
72
set_location_assignment PIN_V15 -to ddr_dq[11]
73
set_location_assignment PIN_U14 -to ddr_dq[12]
74
set_location_assignment PIN_R11 -to ddr_dq[13]
75
set_location_assignment PIN_P10 -to ddr_dq[14]
76
set_location_assignment PIN_V14 -to ddr_dq[15]
77
set_location_assignment PIN_U3 -to ddr_dqs[0]
78
set_location_assignment PIN_T8 -to ddr_dqs[1]
79
set_location_assignment PIN_V3 -to ddr_dm[0]
80
set_location_assignment PIN_V8 -to ddr_dm[1]
81
set_location_assignment PIN_D18 -to writen
82
set_location_assignment PIN_E2 -to romsn
83
set_location_assignment PIN_D17 -to oen
84
set_location_assignment PIN_C3 -to rstoutn
85
set_location_assignment PIN_A6 -to address[20]
86
set_location_assignment PIN_B18 -to address[21]
87
set_location_assignment PIN_C17 -to address[22]
88
set_location_assignment PIN_C18 -to address[23]
89
set_location_assignment PIN_G14 -to address[24]
90
set_location_assignment PIN_B17 -to address[25]
91
set_location_assignment PIN_E12 -to address[1]
92
set_location_assignment PIN_A16 -to address[2]
93
set_location_assignment PIN_B16 -to address[3]
94
set_location_assignment PIN_A15 -to address[4]
95
set_location_assignment PIN_B15 -to address[5]
96
set_location_assignment PIN_A14 -to address[6]
97
set_location_assignment PIN_B14 -to address[7]
98
set_location_assignment PIN_A13 -to address[8]
99
set_location_assignment PIN_B13 -to address[9]
100
set_location_assignment PIN_A12 -to address[10]
101
set_location_assignment PIN_B12 -to address[11]
102
set_location_assignment PIN_A11 -to address[12]
103
set_location_assignment PIN_B11 -to address[13]
104
set_location_assignment PIN_C10 -to address[14]
105
set_location_assignment PIN_D10 -to address[15]
106
set_location_assignment PIN_E10 -to address[16]
107
set_location_assignment PIN_C9 -to address[17]
108
set_location_assignment PIN_D9 -to address[18]
109
set_location_assignment PIN_A7 -to address[19]
110
#big endian encoding of data bus
111
set_location_assignment PIN_C16 -to data[0]
112
set_location_assignment PIN_D12 -to data[1]
113
set_location_assignment PIN_E11 -to data[2]
114
set_location_assignment PIN_D2  -to data[3]
115
set_location_assignment PIN_E13 -to data[4]
116
set_location_assignment PIN_E14 -to data[5]
117
set_location_assignment PIN_A17 -to data[6]
118
set_location_assignment PIN_D16 -to data[7]
119
set_location_assignment PIN_C12 -to data[8]
120
set_location_assignment PIN_A18 -to data[9]
121
set_location_assignment PIN_F8  -to data[10]
122
set_location_assignment PIN_D7  -to data[11]
123
set_location_assignment PIN_F6  -to data[12]
124
set_location_assignment PIN_E6  -to data[13]
125
set_location_assignment PIN_G6  -to data[14]
126
set_location_assignment PIN_C7  -to data[15]
127
set_location_assignment PIN_H3  -to data[16]
128
set_location_assignment PIN_D1  -to data[17]
129
set_location_assignment PIN_A8  -to data[18]
130
set_location_assignment PIN_B8  -to data[19]
131
set_location_assignment PIN_B7  -to data[20]
132
set_location_assignment PIN_C5  -to data[21]
133
set_location_assignment PIN_E8  -to data[22]
134
set_location_assignment PIN_A4  -to data[23]
135
set_location_assignment PIN_B4  -to data[24]
136
set_location_assignment PIN_E7  -to data[25]
137
set_location_assignment PIN_A3  -to data[26]
138
set_location_assignment PIN_B3  -to data[27]
139
set_location_assignment PIN_D5  -to data[28]
140
set_location_assignment PIN_B5  -to data[29]
141
set_location_assignment PIN_A5  -to data[30]
142
set_location_assignment PIN_B6  -to data[31]
143
set_location_assignment PIN_E9 -to ssram_oen
144
set_location_assignment PIN_F9 -to ssram_cen
145
set_location_assignment PIN_F10 -to ssram_bw[2]
146
set_location_assignment PIN_F11 -to ssram_bw[3]
147
set_location_assignment PIN_F12 -to ssram_bw[0]
148
set_location_assignment PIN_F13 -to ssram_bw[1]
149
set_location_assignment PIN_F7 -to ssram_adscn
150
set_location_assignment PIN_G13 -to ssram_wen
151
set_location_assignment PIN_F1 -to gpio[0] # Button0
152
set_location_assignment PIN_F2 -to gpio[1] # Button1
153
set_location_assignment PIN_A10 -to gpio[2] # Button2
154
set_location_assignment PIN_B10 -to dsubren # Button3
155
set_location_assignment PIN_P13 -to dsuact # LED0
156
set_location_assignment PIN_N12 -to errorn # LED2
157
set_location_assignment PIN_A2 -to ssram_clk
158
 
159
#set_location_assignment PIN_T1 -to led[1]
160
#set_location_assignment PIN_N9 -to led[3]
161
#set_location_assignment PIN_A2 -to pll_c1_out
162
#set_instance_assignment -name VIRTUAL_PIN ON -to flash_ssram_a[0] (address[0])
163
#set_location_assignment PIN_A1 -to hsmc_clk
164
#set_location_assignment PIN_D14 -to pll_c0_out
165
#set_location_assignment PIN_D14 -to cpu_clk
166
 
167
 
168
##### HSMC connector #####
169
 
170
# LCD touch panel display
171
set_location_assignment PIN_L13 -to hc_vd
172
set_location_assignment PIN_M14 -to hc_hd
173
set_location_assignment PIN_R17 -to hc_den
174
set_location_assignment PIN_D14 -to hc_nclk
175
set_location_assignment PIN_R4 -to hc_lcd_data[0]
176
set_location_assignment PIN_T17 -to hc_lcd_data[1]
177
set_location_assignment PIN_T18 -to hc_lcd_data[2]
178
set_location_assignment PIN_L16 -to hc_lcd_data[3]
179
set_location_assignment PIN_M17 -to hc_lcd_data[4]
180
set_location_assignment PIN_N6 -to hc_lcd_data[5]
181
set_location_assignment PIN_M13 -to hc_lcd_data[6]
182
set_location_assignment PIN_N13 -to hc_lcd_data[7]
183
set_location_assignment PIN_R18 -to hc_grest
184
set_location_assignment PIN_M6 -to hc_scen
185
set_location_assignment PIN_T2 -to hc_sda
186
set_location_assignment PIN_N17 -to hc_adc_penirq_n
187
set_location_assignment PIN_L18 -to hc_adc_dout
188
set_location_assignment PIN_K18 -to hc_adc_busy
189
set_location_assignment PIN_U18 -to hc_adc_din
190
set_location_assignment PIN_V18 -to hc_adc_dclk
191
set_location_assignment PIN_R5 -to hc_adc_cs_n
192
 
193
# Video decoder
194
set_location_assignment PIN_E1 -to hc_i2c_sdat
195
set_location_assignment PIN_F3 -to hc_i2c_sclk
196
set_location_assignment PIN_H16 -to hc_td_d[0]
197
set_location_assignment PIN_N16 -to hc_td_d[1]
198
set_location_assignment PIN_N15 -to hc_td_d[2]
199
set_location_assignment PIN_R16 -to hc_td_d[3]
200
set_location_assignment PIN_T16 -to hc_td_d[4]
201
set_location_assignment PIN_C2 -to hc_td_d[5]
202
set_location_assignment PIN_C1 -to hc_td_d[6]
203
set_location_assignment PIN_H2 -to hc_td_d[7]
204
set_location_assignment PIN_L5 -to hc_td_hs
205
set_location_assignment PIN_K5 -to hc_td_vs
206
set_location_assignment PIN_F18 -to hc_td_27mhz
207
set_location_assignment PIN_H1 -to hc_td_reset
208
 
209
# Audio codec
210
set_location_assignment PIN_M1 -to hc_aud_adclrck
211
set_location_assignment PIN_A9 -to hc_aud_adcdat
212
set_location_assignment PIN_R2 -to hc_aud_daclrck
213
set_location_assignment PIN_R1 -to hc_aud_dacdat
214
set_location_assignment PIN_E17 -to hc_aud_bclk
215
set_location_assignment PIN_A1 -to hc_aud_xck
216
 
217
# SD Card
218
set_location_assignment PIN_M3 -to hc_sd_dat
219
set_location_assignment PIN_N8 -to hc_sd_dat3
220
set_location_assignment PIN_L6 -to hc_sd_cmd
221
set_location_assignment PIN_M2 -to hc_sd_clk
222
 
223
# Ethernet PHY
224
set_location_assignment PIN_M18 -to hc_tx_d[0]
225
set_location_assignment PIN_L14 -to hc_tx_d[1]
226
set_location_assignment PIN_L15 -to hc_tx_d[2]
227
set_location_assignment PIN_P17 -to hc_tx_d[3]
228
set_location_assignment PIN_P2 -to hc_rx_d[0]
229
set_location_assignment PIN_P1 -to hc_rx_d[1]
230
set_location_assignment PIN_T3 -to hc_rx_d[2]
231
set_location_assignment PIN_R3 -to hc_rx_d[3]
232
set_location_assignment PIN_N18 -to hc_tx_clk
233
set_location_assignment PIN_F17 -to hc_rx_clk
234
set_location_assignment PIN_L17 -to hc_tx_en
235
set_location_assignment PIN_G18 -to hc_rx_dv
236
set_location_assignment PIN_L3 -to hc_rx_crs
237
set_location_assignment PIN_L4 -to hc_rx_err
238
set_location_assignment PIN_G17 -to hc_rx_col
239
set_location_assignment PIN_N7 -to hc_mdio
240
set_location_assignment PIN_P18 -to hc_mdc
241
set_location_assignment PIN_H18 -to hc_eth_reset_n
242
 
243
# RS232 I/F
244
set_location_assignment PIN_H17 -to hc_uart_txd
245
set_location_assignment PIN_E18 -to hc_uart_rxd
246
 
247
# PS2 I/F
248
set_location_assignment PIN_T1 -to hc_ps2_dat
249
set_location_assignment PIN_M5 -to hc_ps2_clk
250
 
251
# VGA/DAC I/F
252
set_location_assignment PIN_K17 -to hc_vga_data[0]
253
set_location_assignment PIN_P11 -to hc_vga_data[1]
254
set_location_assignment PIN_B2 -to hc_vga_data[2]
255
set_location_assignment PIN_B1 -to hc_vga_data[3]
256
set_location_assignment PIN_G2 -to hc_vga_data[4]
257
set_location_assignment PIN_G1 -to hc_vga_data[5]
258
set_location_assignment PIN_K2 -to hc_vga_data[6]
259
set_location_assignment PIN_K1 -to hc_vga_data[7]
260
set_location_assignment PIN_L2 -to hc_vga_data[8]
261
set_location_assignment PIN_L1 -to hc_vga_data[9]
262
set_location_assignment PIN_C14 -to hc_vga_clock
263
set_location_assignment PIN_H15 -to hc_vga_hs
264
set_location_assignment PIN_J13 -to hc_vga_vs
265
set_location_assignment PIN_N10 -to hc_vga_blank
266
set_location_assignment PIN_N11 -to hc_vga_sync
267
 
268
# I2C EEPROM
269
set_location_assignment PIN_H6 -to hc_id_i2cscl
270
set_location_assignment PIN_D3 -to hc_id_i2cdat
271
 
272
 
273
#set_location_assignment PIN_K17 -to HSMC_D16
274
#set_location_assignment PIN_P11 -to HSMC_D18
275
#set_location_assignment PIN_B2 -to HSMC_TX_p4
276
#set_location_assignment PIN_B1 -to HSMC_TX_n4
277
#set_location_assignment PIN_G2 -to HSMC_TX_p5
278
#set_location_assignment PIN_G1 -to HSMC_TX_n5
279
#set_location_assignment PIN_K2 -to HSMC_TX_p6
280
#set_location_assignment PIN_K1 -to HSMC_TX_n6
281
#set_location_assignment PIN_L2 -to HSMC_TX_p7
282
#set_location_assignment PIN_L1 -to HSMC_TX_n7
283
#set_location_assignment PIN_C14 -to HSMC_CLKOUT_n1
284
#set_location_assignment PIN_N8 -to HSMC_D8
285
#set_location_assignment PIN_J13 -to HSMC_D10
286
#set_location_assignment PIN_N10 -to HSMC_D12
287
#set_location_assignment PIN_N11 -to HSMC_D14
288
#set_location_assignment PIN_M3 -to HSMC_D5
289
#set_location_assignment PIN_T2 -to HSMC_D7
290
#set_location_assignment PIN_H15 -to HSMC_D9
291
#set_location_assignment PIN_H16 -to HSMC_D11
292
#set_location_assignment PIN_N16 -to HSMC_D13
293
#set_location_assignment PIN_N15 -to HSMC_D15
294
#set_location_assignment PIN_R16 -to HSMC_D17
295
#set_location_assignment PIN_T16 -to HSMC_D19
296
#set_location_assignment PIN_L6 -to HSMC_D3
297
#set_location_assignment PIN_D3 -to HSMC_D1
298
#set_location_assignment PIN_F18 -to HSMC_CLKIN_n1
299
#set_location_assignment PIN_N7 -to HSMC_D6
300
#set_location_assignment PIN_T1 -to HSMC_D4
301
#set_location_assignment PIN_A9 -to HSMC_CLKIN0
302
#set_location_assignment PIN_M5 -to HSMC_D2
303
#set_location_assignment PIN_H6 -to HSMC_D0
304
#set_location_assignment PIN_P18 -to HSMC_TX_n14
305
#set_location_assignment PIN_A1 -to HSMC_CLKOUT0
306
#set_location_assignment PIN_E18 -to HSMC_TX_n10
307
#set_location_assignment PIN_H1 -to HSMC_RX_n5
308
#set_location_assignment PIN_C2 -to HSMC_RX_p4
309
#set_location_assignment PIN_R2 -to HSMC_TX_p9
310
#set_location_assignment PIN_M1 -to HSMC_TX_n8
311
#set_location_assignment PIN_M2 -to HSMC_TX_p8
312
#set_location_assignment PIN_F3 -to HSMC_SCL
313
#set_location_assignment PIN_E1 -to HSMC_SDA
314
#set_location_assignment PIN_R1 -to HSMC_TX_n9
315
#set_location_assignment PIN_C1 -to HSMC_RX_n4
316
#set_location_assignment PIN_H2 -to HSMC_RX_p5
317
#set_location_assignment PIN_E17 -to HSMC_TX_p10
318
#set_location_assignment PIN_L17 -to HSMC_TX_p12
319
#set_location_assignment PIN_M18 -to HSMC_TX_n12
320
#set_location_assignment PIN_L14 -to HSMC_TX_p13
321
#set_location_assignment PIN_L15 -to HSMC_TX_n13
322
#set_location_assignment PIN_P2 -to HSMC_RX_p8
323
#set_location_assignment PIN_P1 -to HSMC_RX_n8
324
#set_location_assignment PIN_T3 -to HSMC_RX_p9
325
#set_location_assignment PIN_R3 -to HSMC_RX_n9
326
#set_location_assignment PIN_N18 -to HSMC_CLKIN_n2
327
#set_location_assignment PIN_F17 -to HSMC_CLKIN_p1
328
#set_location_assignment PIN_H18 -to HSMC_TX_n11
329
#set_location_assignment PIN_L5 -to HSMC_RX_n6
330
#set_location_assignment PIN_L3 -to HSMC_RX_n7
331
#set_location_assignment PIN_L4 -to HSMC_RX_p7
332
#set_location_assignment PIN_K5 -to HSMC_RX_p6
333
#set_location_assignment PIN_G18 -to HSMC_RX_n10
334
#set_location_assignment PIN_P17 -to HSMC_TX_p14
335
#set_location_assignment PIN_H17 -to HSMC_TX_p11
336
#set_location_assignment PIN_R4 -to HSMC_TX_n15
337
#set_location_assignment PIN_T17 -to HSMC_TX_p16
338
#set_location_assignment PIN_T18 -to HSMC_TX_n16
339
#set_location_assignment PIN_L16 -to HSMC_RX_p12
340
#set_location_assignment PIN_M17 -to HSMC_RX_n12
341
#set_location_assignment PIN_N6 -to HSMC_RX_n15
342
#set_location_assignment PIN_M13 -to HSMC_RX_p16
343
#set_location_assignment PIN_N13 -to HSMC_RX_n16
344
#set_location_assignment PIN_D14 -to HSMC_CLKOUT_p1
345
#set_location_assignment PIN_R17 -to HSMC_RX_p14
346
#set_location_assignment PIN_M14 -to HSMC_RX_n13
347
#set_location_assignment PIN_L13 -to HSMC_RX_p13
348
#set_location_assignment PIN_R18 -to HSMC_RX_n14
349
#set_location_assignment PIN_M6 -to HSMC_RX_p15
350
#set_location_assignment PIN_K18 -to HSMC_RX_p11
351
#set_location_assignment PIN_N17 -to HSMC_CLKIN_p2
352
#set_location_assignment PIN_L18 -to HSMC_RX_n11
353
#set_location_assignment PIN_G17 -to HSMC_RX_p10
354
#set_location_assignment PIN_U18 -to HSMC_CLKOUT_p2
355
#set_location_assignment PIN_V18 -to HSMC_CLKOUT_n2
356
#set_location_assignment PIN_R5 -to HSMC_TX_p15
357
 
358
 
359
set_instance_assignment -name OUTPUT_ENABLE_GROUP 1191024410 -to dsuact
360
#set_instance_assignment -name OUTPUT_ENABLE_GROUP 1191024410 -to led[1]
361
set_instance_assignment -name OUTPUT_ENABLE_GROUP 1191024410 -to errorn
362
#set_instance_assignment -name OUTPUT_ENABLE_GROUP 1191024410 -to led[3]
363
 
364
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to ssram_adscn
365
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to ssram_bw
366
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to ssram_cen
367
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to ssram_oen
368
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to ssram_wen
369
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to ssram_clk
370
 
371
#set_instance_assignment -name TCO_REQUIREMENT "3.3 ns" -from * -to ssram_adscn
372
#set_instance_assignment -name TCO_REQUIREMENT "3.3 ns" -from * -to ssram_bw
373
#set_instance_assignment -name TCO_REQUIREMENT "3.3 ns" -from * -to ssram_wen
374
#set_instance_assignment -name TCO_REQUIREMENT "3.3 ns" -from * -to ssram_cen
375
#set_instance_assignment -name TCO_REQUIREMENT "3.3 ns" -from * -to ssram_oen
376
#set_instance_assignment -name TCO_REQUIREMENT "3.3 ns" -from * -to address
377
#set_instance_assignment -name TSU_REQUIREMENT "6 ns" -from * -to data
378
set_global_assignment -name CYCLONEIII_CONFIGURATION_SCHEME "ACTIVE PARALLEL"
379
#set_global_assignment -name STRATIXIII_UPDATE_MODE REMOTE
380
set_global_assignment -name USE_CONFIGURATION_DEVICE OFF
381
set_global_assignment -name GENERATE_TTF_FILE ON
382
set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION OFF
383
set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "USE AS REGULAR IO"
384
set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "USE AS REGULAR IO"
385
set_global_assignment -name RESERVE_DATA7_THROUGH_DATA2_AFTER_CONFIGURATION "USE AS REGULAR IO"
386
set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "USE AS REGULAR IO"
387
set_global_assignment -name RESERVE_OTHER_AP_PINS_AFTER_CONFIGURATION "USE AS REGULAR IO"
388
set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO"
389
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
390
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
391
set_global_assignment -name OPTIMIZE_FAST_CORNER_TIMING ON
392
 
393
set_global_assignment -name FMAX_REQUIREMENT "50.0 MHz"
394
 
395
set_global_assignment -name FITTER_EFFORT "STANDARD FIT"
396
set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC ON
397
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ON
398
set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING ON
399
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING ON
400
set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT EXTRA
401
set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA ON
402
set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA ON
403
set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP ON
404
set_global_assignment -name ADV_NETLIST_OPT_SYNTH_GATE_RETIME ON
405
set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE SPEED
406
#set_global_assignment -name SDC_FILE leon3mp.sdc
407
set_global_assignment -name OPTIMIZE_HOLD_TIMING "ALL PATHS"
408
set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"
409
set_global_assignment -name ALLOW_SYNCH_CTRL_USAGE OFF
410
 
411
 
412
# Cut between AHB clock and VGA clocks
413
set_instance_assignment -name CUT ON -from "clkm" -to "altera_eek_clkgen:\\svga:vgaclkgen|clkout[0]"
414
set_instance_assignment -name CUT ON -from "clkm" -to "altera_eek_clkgen:\\svga:vgaclkgen|clkout[1]"
415
set_instance_assignment -name CUT ON -from "clkm" -to "altera_eek_clkgen:\\svga:vgaclkgen|clkout[2]"
416
set_instance_assignment -name CUT ON -from "clkm" -to "altera_eek_clkgen:\\svga:vgaclkgen|clkout[3]"
417
set_instance_assignment -name CUT ON -from "altera_eek_clkgen:\\svga:vgaclkgen|clkout[0]" -to "clkm"
418
set_instance_assignment -name CUT ON -from "altera_eek_clkgen:\\svga:vgaclkgen|clkout[1]" -to "clkm"
419
set_instance_assignment -name CUT ON -from "altera_eek_clkgen:\\svga:vgaclkgen|clkout[2]" -to "clkm"
420
set_instance_assignment -name CUT ON -from "altera_eek_clkgen:\\svga:vgaclkgen|clkout[3]" -to "clkm"
421
 
422
# Cut between VGA clocks
423
set_instance_assignment -name CUT ON -from "altera_eek_clkgen:\\svga:vgaclkgen|clkout[0]" -to "altera_eek_clkgen:\\svga:vgaclkgen|clkout[2]"
424
set_instance_assignment -name CUT ON -from "altera_eek_clkgen:\\svga:vgaclkgen|clkout[0]" -to "altera_eek_clkgen:\\svga:vgaclkgen|clkout[3]"
425
set_instance_assignment -name CUT ON -from "altera_eek_clkgen:\\svga:vgaclkgen|clkout[1]" -to "altera_eek_clkgen:\\svga:vgaclkgen|clkout[2]"
426
set_instance_assignment -name CUT ON -from "altera_eek_clkgen:\\svga:vgaclkgen|clkout[1]" -to "altera_eek_clkgen:\\svga:vgaclkgen|clkout[3]"
427
set_instance_assignment -name CUT ON -from "altera_eek_clkgen:\\svga:vgaclkgen|clkout[2]" -to "altera_eek_clkgen:\\svga:vgaclkgen|clkout[0]"
428
set_instance_assignment -name CUT ON -from "altera_eek_clkgen:\\svga:vgaclkgen|clkout[2]" -to "altera_eek_clkgen:\\svga:vgaclkgen|clkout[1]"
429
set_instance_assignment -name CUT ON -from "altera_eek_clkgen:\\svga:vgaclkgen|clkout[3]" -to "altera_eek_clkgen:\\svga:vgaclkgen|clkout[0]"
430
set_instance_assignment -name CUT ON -from "altera_eek_clkgen:\\svga:vgaclkgen|clkout[3]" -to "altera_eek_clkgen:\\svga:vgaclkgen|clkout[1]"
431
 
432
# Cut between AHB clock and LCD clocks
433
set_instance_assignment -name CUT ON -from "clkm" -to "altera_eek_clkgen:\\lcd:lcdclkgen|clkout[0]"
434
set_instance_assignment -name CUT ON -from "clkm" -to "altera_eek_clkgen:\\lcd:lcdclkgen|clkout[1]"
435
#set_instance_assignment -name CUT ON -from "clkm" -to "altera_eek_clkgen:\\lcd:lcdclkgen|clkout[2]"
436
#set_instance_assignment -name CUT ON -from "clkm" -to "altera_eek_clkgen:\\lcd:lcdclkgen|clkout[3]"
437
set_instance_assignment -name CUT ON -from "altera_eek_clkgen:\\lcd:lcdclkgen|clkout[0]" -to "clkm"
438
set_instance_assignment -name CUT ON -from "altera_eek_clkgen:\\lcd:lcdclkgen|clkout[1]" -to "clkm"
439
#set_instance_assignment -name CUT ON -from "altera_eek_clkgen:\\lcd:lcdclkgen|clkout[2]" -to "clkm"
440
#set_instance_assignment -name CUT ON -from "altera_eek_clkgen:\\lcd:lcdclkgen|clkout[3]" -to "clkm"
441
 
442
# Cut between LCD clocks
443
#set_instance_assignment -name CUT ON -from "altera_eek_clkgen:\\lcd:lcdclkgen|clkout[0]" -to "altera_eek_clkgen:\\lcd:lcdclkgen|clkout[2]"
444
#set_instance_assignment -name CUT ON -from "altera_eek_clkgen:\\lcd:lcdclkgen|clkout[0]" -to "altera_eek_clkgen:\\lcd:lcdclkgen|clkout[3]"
445
#set_instance_assignment -name CUT ON -from "altera_eek_clkgen:\\lcd:lcdclkgen|clkout[1]" -to "altera_eek_clkgen:\\lcd:lcdclkgen|clkout[2]"
446
#set_instance_assignment -name CUT ON -from "altera_eek_clkgen:\\lcd:lcdclkgen|clkout[1]" -to "altera_eek_clkgen:\\lcd:lcdclkgen|clkout[3]"
447
#set_instance_assignment -name CUT ON -from "altera_eek_clkgen:\\lcd:lcdclkgen|clkout[2]" -to "altera_eek_clkgen:\\lcd:lcdclkgen|clkout[0]"
448
#set_instance_assignment -name CUT ON -from "altera_eek_clkgen:\\lcd:lcdclkgen|clkout[2]" -to "altera_eek_clkgen:\\lcd:lcdclkgen|clkout[1]"
449
#set_instance_assignment -name CUT ON -from "altera_eek_clkgen:\\lcd:lcdclkgen|clkout[3]" -to "altera_eek_clkgen:\\lcd:lcdclkgen|clkout[0]"
450
#set_instance_assignment -name CUT ON -from "altera_eek_clkgen:\\lcd:lcdclkgen|clkout[3]" -to "altera_eek_clkgen:\\lcd:lcdclkgen|clkout[1]"
451
 
452
#Cut between system clock and DDR clock
453
#set_instance_assignment -name CUT ON -from "clkm" -to "clkml"
454
#set_instance_assignment -name CUT ON -from "clkml" -to "clkm"
455
set_instance_assignment -name CUT ON -from "clkm" -to "ddrspa:\ddrsp0:ddrc0|ddr_phy:ddr_phy0|ddrphy:ddr_phy0|cycloneiii_ddr_phy:\cyc3:ddr_phy0|apll:pll0|altpll:altpll_component|apll_altpll:auto_generated|wire_pll1_clk[0]"
456
set_instance_assignment -name CUT ON -from "ddrspa:\ddrsp0:ddrc0|ddr_phy:ddr_phy0|ddrphy:ddr_phy0|cycloneiii_ddr_phy:\cyc3:ddr_phy0|apll:pll0|altpll:altpll_component|apll_altpll:auto_generated|wire_pll1_clk[0]" -to "clkm"
457
 
458
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to ddr_clk
459
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_clk
460
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to ddr_clkn
461
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_clkn
462
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to ddr_csb
463
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_csb
464
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to ddr_cke
465
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_cke
466
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to ddr_ad[0]
467
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to ddr_ad[1]
468
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to ddr_ad[2]
469
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to ddr_ad[3]
470
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to ddr_ad[4]
471
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to ddr_ad[5]
472
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to ddr_ad[6]
473
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to ddr_ad[7]
474
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to ddr_ad[8]
475
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to ddr_ad[9]
476
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to ddr_ad[10]
477
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to ddr_ad[11]
478
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to ddr_ad[12]
479
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_ad[0]
480
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_ad[1]
481
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_ad[2]
482
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_ad[3]
483
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_ad[4]
484
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_ad[5]
485
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_ad[6]
486
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_ad[7]
487
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_ad[8]
488
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_ad[9]
489
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_ad[10]
490
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_ad[11]
491
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_ad[12]
492
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to ddr_ba[0]
493
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to ddr_ba[1]
494
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_ba[0]
495
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_ba[1]
496
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to ddr_rasb
497
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_rasb
498
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to ddr_casb
499
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_casb
500
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to ddr_web
501
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_web
502
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to ddr_dq[0]
503
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to ddr_dq[1]
504
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to ddr_dq[2]
505
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to ddr_dq[3]
506
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to ddr_dq[4]
507
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to ddr_dq[5]
508
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to ddr_dq[6]
509
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to ddr_dq[7]
510
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to ddr_dq[8]
511
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to ddr_dq[9]
512
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to ddr_dq[10]
513
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to ddr_dq[11]
514
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to ddr_dq[12]
515
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to ddr_dq[13]
516
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to ddr_dq[14]
517
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to ddr_dq[15]
518
set_instance_assignment -name OUTPUT_ENABLE_GROUP 1244174944 -to ddr_dq[0]
519
set_instance_assignment -name OUTPUT_ENABLE_GROUP 1244174944 -to ddr_dq[1]
520
set_instance_assignment -name OUTPUT_ENABLE_GROUP 1244174944 -to ddr_dq[2]
521
set_instance_assignment -name OUTPUT_ENABLE_GROUP 1244174944 -to ddr_dq[3]
522
set_instance_assignment -name OUTPUT_ENABLE_GROUP 1244174944 -to ddr_dq[4]
523
set_instance_assignment -name OUTPUT_ENABLE_GROUP 1244174944 -to ddr_dq[5]
524
set_instance_assignment -name OUTPUT_ENABLE_GROUP 1244174944 -to ddr_dq[6]
525
set_instance_assignment -name OUTPUT_ENABLE_GROUP 1244174944 -to ddr_dq[7]
526
set_instance_assignment -name OUTPUT_ENABLE_GROUP 1244174944 -to ddr_dq[8]
527
set_instance_assignment -name OUTPUT_ENABLE_GROUP 1244174944 -to ddr_dq[9]
528
set_instance_assignment -name OUTPUT_ENABLE_GROUP 1244174944 -to ddr_dq[10]
529
set_instance_assignment -name OUTPUT_ENABLE_GROUP 1244174944 -to ddr_dq[11]
530
set_instance_assignment -name OUTPUT_ENABLE_GROUP 1244174944 -to ddr_dq[12]
531
set_instance_assignment -name OUTPUT_ENABLE_GROUP 1244174944 -to ddr_dq[13]
532
set_instance_assignment -name OUTPUT_ENABLE_GROUP 1244174944 -to ddr_dq[14]
533
set_instance_assignment -name OUTPUT_ENABLE_GROUP 1244174944 -to ddr_dq[15]
534
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_dq[0]
535
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_dq[1]
536
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_dq[2]
537
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_dq[3]
538
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_dq[4]
539
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_dq[5]
540
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_dq[6]
541
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_dq[7]
542
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_dq[8]
543
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_dq[9]
544
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_dq[10]
545
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_dq[11]
546
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_dq[12]
547
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_dq[13]
548
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_dq[14]
549
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_dq[15]
550
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to ddr_dqs[0]
551
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to ddr_dqs[1]
552
set_instance_assignment -name OUTPUT_ENABLE_GROUP 1244174944 -to ddr_dqs[0]
553
set_instance_assignment -name OUTPUT_ENABLE_GROUP 1244174944 -to ddr_dqs[1]
554
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_dqs[0]
555
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_dqs[1]
556
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to ddr_dm[0]
557
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to ddr_dm[1]
558
set_instance_assignment -name OUTPUT_ENABLE_GROUP 1244174944 -to ddr_dm[0]
559
set_instance_assignment -name OUTPUT_ENABLE_GROUP 1244174944 -to ddr_dm[1]
560
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_dm[0]
561
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ddr_dm[1]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.