OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [boards/] [ge-hpe-mini/] [leon3mini.qsf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
# Pin & Location Assignments
2
# ==========================
3
set_location_assignment PIN_Y16 -to address[2] -comment A0
4
set_location_assignment PIN_AB16 -to address[3] -comment A1
5
set_location_assignment PIN_W15 -to address[4] -comment A2
6
set_location_assignment PIN_AA16 -to address[5] -comment A3
7
set_location_assignment PIN_V15 -to address[6] -comment A4
8
set_location_assignment PIN_AA15 -to address[7] -comment A5
9
set_location_assignment PIN_W8 -to address[8] -comment A6
10
set_location_assignment PIN_AA8 -to address[9] -comment A7
11
set_location_assignment PIN_AB8 -to address[10] -comment A8
12
set_location_assignment PIN_Y7 -to address[11] -comment A9
13
set_location_assignment PIN_AB7 -to address[12] -comment A10
14
set_location_assignment PIN_Y6 -to address[13] -comment A111
15
set_location_assignment PIN_AA11 -to address[14] -comment A12
16
set_location_assignment PIN_Y10 -to address[15] -comment A13
17
set_location_assignment PIN_M1 -to clk -comment "CLK_FPGA"
18
set_location_assignment PIN_AA20 -to data[0] -comment SDRAM_D0
19
set_location_assignment PIN_W14 -to data[10]
20
set_location_assignment PIN_Y14 -to data[11]
21
set_location_assignment PIN_AA14 -to data[12]
22
set_location_assignment PIN_AB14 -to data[13]
23
set_location_assignment PIN_U13 -to data[14]
24
set_location_assignment PIN_Y13 -to data[15]
25
set_location_assignment PIN_AA10 -to data[16]
26
set_location_assignment PIN_AB10 -to data[17]
27
set_location_assignment PIN_V9 -to data[18]
28
set_location_assignment PIN_W9 -to data[19]
29
set_location_assignment PIN_AB20 -to data[1]
30
set_location_assignment PIN_Y9 -to data[20]
31
set_location_assignment PIN_AA9 -to data[21]
32
set_location_assignment PIN_AB9 -to data[22]
33
set_location_assignment PIN_V8 -to data[23]
34
set_location_assignment PIN_Y5 -to data[24]
35
set_location_assignment PIN_AA5 -to data[25]
36
set_location_assignment PIN_AB5 -to data[26]
37
set_location_assignment PIN_Y4 -to data[27]
38
set_location_assignment PIN_AA4 -to data[28]
39
set_location_assignment PIN_AB4 -to data[29]
40
set_location_assignment PIN_AA19 -to data[2]
41
set_location_assignment PIN_Y3 -to data[30]
42
set_location_assignment PIN_AB3 -to data[31] -comment SDRAM_D31
43
set_location_assignment PIN_AB19 -to data[3]
44
set_location_assignment PIN_AA18 -to data[4]
45
set_location_assignment PIN_AB18 -to data[5]
46
set_location_assignment PIN_Y17 -to data[6]
47
set_location_assignment PIN_AA17 -to data[7]
48
set_location_assignment PIN_AB15 -to data[8]
49
set_location_assignment PIN_V14 -to data[9]
50
set_location_assignment PIN_W4 -to dsuactn -comment "LED1#"
51
set_location_assignment PIN_P5 -to dsubre -comment TST_STEP
52
set_location_assignment PIN_M5 -to dsuen -comment DSW0
53
set_location_assignment PIN_D1 -to rxd1 -comment RS_RXD_TTL -disable
54
set_location_assignment PIN_C1 -to txd1 -comment RS_TXD_TTL -disable
55
set_location_assignment PIN_D1 -to dsurx -comment RS_RXD_TTL -disable
56
set_location_assignment PIN_C1 -to dsutx -comment RS_TXD_TTL -disable
57
set_location_assignment PIN_D1 -to serrx -comment RS_RXD_TTL
58
set_location_assignment PIN_C1 -to sertx -comment RS_TXD_TTL
59
set_location_assignment PIN_M6 -to sersrcsel -comment DSW1
60
set_location_assignment PIN_J1 -to emdc -comment ETH_MDC
61
set_location_assignment PIN_J2 -to emdio -comment ETH_MDIO
62
set_location_assignment PIN_W5 -to errorn -comment "LED0#"
63
set_location_assignment PIN_J4 -to erxd[0] -comment ETH_RXD0
64
set_location_assignment PIN_H3 -to erxd[1] -comment ETH_RXD1
65
set_location_assignment PIN_H2 -to erxd[2] -comment ETH_RXD2
66
set_location_assignment PIN_H1 -to erxd[3] -comment ETH_RXD3
67
set_location_assignment PIN_L2 -to erx_clk -comment ETH_RXCLK
68
set_location_assignment PIN_F1 -to erx_col -comment ETH_COL
69
set_location_assignment PIN_F2 -to erx_crs -comment ETH_CRS
70
set_location_assignment PIN_H4 -to erx_dv -comment ETH_RXDV
71
set_location_assignment PIN_H5 -to erx_er -comment ETH_RXER
72
set_location_assignment PIN_G5 -to etxd[0] -comment ETH_TXD0
73
set_location_assignment PIN_G6 -to etxd[1] -comment ETH_TXD1
74
set_location_assignment PIN_F3 -to etxd[2] -comment ETH_TXD2
75
set_location_assignment PIN_F4 -to etxd[3] -comment ETH_TXD3
76
set_location_assignment PIN_L1 -to etx_clk -comment ETH_TXCLK
77
set_location_assignment PIN_G3 -to etx_en -comment ETH_TXEN
78
set_location_assignment PIN_H6 -to etx_er -comment ETH_TXER
79
set_location_assignment PIN_B3 -to resetn -comment "HPE_RESET#"
80
set_location_assignment PIN_W7 -to sdba[0] -comment SDRAM_BA0
81
set_location_assignment PIN_AA7 -to sdba[1] -comment SDRAM_BA1
82
set_location_assignment PIN_AA12 -to sdcasn -comment "SDRAM_CAS#"
83
set_location_assignment PIN_AA13 -to sdcke[0] -comment SDRAM_CKE0
84
set_location_assignment PIN_V11 -to sdcke[1] -comment SDRAM_CKE1
85
set_location_assignment PIN_U4 -to sdclk -comment SDRAM_PLL1_OUT
86
set_location_assignment PIN_W11 -to sdcsn[0] -comment "SDRAM_CS0#"
87
set_location_assignment PIN_AB11 -to sdcsn[1] -comment "SDRAM_CS1#"
88
set_location_assignment PIN_AB17 -to sddqm[0] -comment SDRAM_DQM0
89
set_location_assignment PIN_W16 -to sddqm[1] -comment SDRAM_DQM1
90
set_location_assignment PIN_AA6 -to sddqm[2] -comment SDRAM_DQM2
91
set_location_assignment PIN_AB6 -to sddqm[3] -comment SDRAM_DQM3
92
set_location_assignment PIN_AB13 -to sdrasn -comment "SDRAM_RAS#"
93
set_location_assignment PIN_AB12 -to sdwen -comment "SDRAM_WE#"
94
set_location_assignment PIN_E1 -to resoutn -comment "HPE_RESOUT#"
95
set_location_assignment PIN_Y22 -to dac -comment "DAC_DIG"
96
 
97
# ATA singals
98
set_location_assignment PIN_N21 -to ata_data[0]
99
set_location_assignment PIN_M19 -to ata_data[1]
100
set_location_assignment PIN_L19 -to ata_data[2]
101
set_location_assignment PIN_K22 -to ata_data[3]
102
set_location_assignment PIN_K20 -to ata_data[4]
103
set_location_assignment PIN_J21 -to ata_data[5]
104
set_location_assignment PIN_J19 -to ata_data[6]
105
set_location_assignment PIN_J17 -to ata_data[7]
106
set_location_assignment PIN_J18 -to ata_data[8]
107
set_location_assignment PIN_J20 -to ata_data[9]
108
set_location_assignment PIN_J22 -to ata_data[10]
109
set_location_assignment PIN_K21 -to ata_data[11]
110
set_location_assignment PIN_L18 -to ata_data[12]
111
set_location_assignment PIN_M18 -to ata_data[13]
112
set_location_assignment PIN_N15 -to ata_data[14]
113
set_location_assignment PIN_N22 -to ata_data[15]
114
set_location_assignment PIN_T21 -to ata_da[0]
115
set_location_assignment PIN_R22 -to ata_da[1]
116
set_location_assignment PIN_T22 -to ata_da[2]
117
set_location_assignment PIN_U19 -to ata_cs0
118
set_location_assignment PIN_J15 -to ata_cs1
119
set_location_assignment PIN_P18 -to ata_dior
120
set_location_assignment PIN_P17 -to ata_diow
121
set_location_assignment PIN_R17 -to ata_iordy
122
set_location_assignment PIN_R20 -to ata_intrq
123
set_location_assignment PIN_P15 -to ata_dmarq
124
set_location_assignment PIN_R19 -to ata_dmack
125
set_location_assignment PIN_U20 -to ata_dasp
126
set_location_assignment PIN_R18 -to ata_csel
127
 
128
 
129
 
130
# set_location_assignment PIN_J17 -to address[1]
131
# set_location_assignment PIN_J18 -to address[19]
132
# set_location_assignment PIN_J19 -to address[25]
133
# set_location_assignment PIN_J20 -to read
134
# set_location_assignment PIN_J21 -to ramoen[3]
135
# set_location_assignment PIN_J22 -to oen
136
# set_location_assignment PIN_K20 -to rben[0]
137
# set_location_assignment PIN_K21 -to ramoen[0]
138
# set_location_assignment PIN_K22 -to address[17]
139
# set_location_assignment PIN_L18 -to address[21]
140
# set_location_assignment PIN_L19 -to address[27]
141
# set_location_assignment PIN_M18 -to iosn
142
# set_location_assignment PIN_M19 -to ramsn[0]
143
# set_location_assignment PIN_N15 -to romsn[0]
144
# set_location_assignment PIN_N21 -to rben[2]
145
# set_location_assignment PIN_N22 -to ramoen[2]
146
# set_location_assignment PIN_P15 -to ramsn[2]
147
# set_location_assignment PIN_P18 -to address[0]
148
# set_location_assignment PIN_R17 -to ramsn[3]
149
# set_location_assignment PIN_R18 -to ramoen[4]
150
# set_location_assignment PIN_R19 -to ramsn[1]
151
# set_location_assignment PIN_R20 -to rben[3]
152
# set_location_assignment PIN_R21 -to address[16]
153
# set_location_assignment PIN_R22 -to address[24]
154
# set_location_assignment PIN_T21 -to ramoen[1]
155
# set_location_assignment PIN_T22 -to address[22]
156
# set_location_assignment PIN_P17 -to address[23]
157
# set_location_assignment PIN_U19 -to romsn[1]
158
# set_location_assignment PIN_U20 -to rben[1]
159
# set_location_assignment PIN_U21 -to ramsn[4]
160
# set_location_assignment PIN_U22 -to writen
161
 
162
#set_location_assignment PIN_V20 -to address[20]
163
#set_location_assignment PIN_V21 -to address[26]
164
#set_location_assignment PIN_V22 -to address[18]
165
set_location_assignment PIN_A3 -to vga_rd[0]
166
set_location_assignment PIN_B4 -to vga_rd[1]
167
set_location_assignment PIN_A4 -to vga_gr[0]
168
set_location_assignment PIN_B5 -to vga_gr[1]
169
set_location_assignment PIN_A5 -to vga_bl[0]
170
set_location_assignment PIN_B6 -to vga_bl[1]
171
set_location_assignment PIN_A6 -to vga_vsync
172
set_location_assignment PIN_A7 -to vga_hsync
173
set_location_assignment PIN_D16 -to hpiaddr[0] -comment USB_GPIO19
174
set_location_assignment PIN_G16 -to hpiaddr[1] -comment USB_GPIO20
175
set_location_assignment PIN_A17 -to hpicsn -comment USB_GPIO21
176
set_location_assignment PIN_B17 -to hpiwrn -comment USB_GPIO22
177
set_location_assignment PIN_C17 -to hpirdn -comment USB_GPIO23
178
set_location_assignment PIN_F12 -to hpidata[0] -comment USB_GPIO0
179
set_location_assignment PIN_A13 -to hpidata[1] -comment USB_GPIO1
180
set_location_assignment PIN_B13 -to hpidata[2] -comment USB_GPIO2
181
set_location_assignment PIN_C13 -to hpidata[3] -comment USB_GPIO3
182
set_location_assignment PIN_F13 -to hpidata[4] -comment USB_GPIO4
183
set_location_assignment PIN_A14 -to hpidata[5] -comment USB_GPIO5
184
set_location_assignment PIN_B14 -to hpidata[6] -comment USB_GPIO6
185
set_location_assignment PIN_C14 -to hpidata[7] -comment USB_GPIO7
186
set_location_assignment PIN_D14 -to hpidata[8] -comment USB_GPIO8
187
set_location_assignment PIN_E14 -to hpidata[9] -comment USB_GPIO9
188
set_location_assignment PIN_F14 -to hpidata[10] -comment USB_GPIO10
189
set_location_assignment PIN_A15 -to hpidata[11] -comment USB_GPIO11
190
set_location_assignment PIN_B15 -to hpidata[12] -comment USB_GPIO12
191
set_location_assignment PIN_D15 -to hpidata[13] -comment USB_GPIO13
192
set_location_assignment PIN_E15 -to hpidata[14] -comment USB_GPIO14
193
set_location_assignment PIN_F15 -to hpidata[15] -comment USB_GPIO15
194
set_location_assignment PIN_A18 -to hpiint -comment USB_GPIO24
195
set_location_assignment PIN_W21 -to sample_clock -comment SANTAC_B_IO34
196
set_location_assignment PIN_W22 -to dbg_equal -comment SANTAC_B_IO35
197
 
198
# Timing Assignments
199
# ==================
200
set_global_assignment -name IGNORE_CLOCK_SETTINGS ON
201
set_global_assignment -name INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS OFF
202
set_global_assignment -name FMAX_REQUIREMENT "25.0 MHz"
203
 
204
# Analysis & Synthesis Assignments
205
# ================================
206
set_global_assignment -name SAVE_DISK_SPACE OFF
207
set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "Synplify Pro"
208
set_global_assignment -name FAMILY "Cyclone II"
209
set_global_assignment -name CYCLONE_OPTIMIZATION_TECHNIQUE SPEED
210
set_global_assignment -name STRATIX_OPTIMIZATION_TECHNIQUE SPEED
211
set_global_assignment -name APEX20K_OPTIMIZATION_TECHNIQUE SPEED
212
set_global_assignment -name VHDL_SHOW_LMF_MAPPING_MESSAGES OFF
213
set_global_assignment -name AUTO_ENABLE_SMART_COMPILE on
214
set_global_assignment -name VERILOG_SHOW_LMF_MAPPING_MESSAGES OFF
215
set_global_assignment -name REMOVE_DUPLICATE_REGISTERS OFF
216
set_global_assignment -name REMOVE_DUPLICATE_LOGIC OFF
217
 
218
# Fitter Assignments
219
# ==================
220
set_global_assignment -name DEVICE EP2C35F484C8
221
set_global_assignment -name CYCLONEII_CONFIGURATION_SCHEME "PASSIVE SERIAL"
222
set_global_assignment -name CYCLONE_CONFIGURATION_SCHEME "PASSIVE SERIAL"
223
set_global_assignment -name ENABLE_DEVICE_WIDE_RESET OFF
224
set_global_assignment -name RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION "AS INPUT TRI-STATED"
225
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
226
set_global_assignment -name OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING OFF
227
set_global_assignment -name WEAK_PULL_UP_RESISTOR ON
228
set_global_assignment -name ROUTER_REGISTER_DUPLICATION ON
229
set_global_assignment -name IO_PLACEMENT_OPTIMIZATION OFF
230
set_global_assignment -name INC_PLC_MODE OFF
231
set_global_assignment -name ROUTING_BACK_ANNOTATION_MODE OFF
232
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD LVTTL
233
 
234
# Timing Analysis Assignments
235
# ===========================
236
set_global_assignment -name MAX_SCC_SIZE 50
237
 
238
# EDA Netlist Writer Assignments
239
# ==============================
240
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim (VHDL output from Quartus II)"
241
set_global_assignment -name HARDCOPY_EXTERNAL_CLOCK_JITTER "0.0 NS"
242
 
243
# Assembler Assignments
244
# =====================
245
set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"
246
set_global_assignment -name COMPRESSION_MODE ON
247
set_global_assignment -name APEX20K_CONFIGURATION_DEVICE EPC2
248
set_global_assignment -name EXCALIBUR_CONFIGURATION_DEVICE EPC2
249
set_global_assignment -name MERCURY_CONFIGURATION_DEVICE EPC2
250
set_global_assignment -name FLEX6K_CONFIGURATION_DEVICE EPC1
251
set_global_assignment -name FLEX10K_CONFIGURATION_DEVICE EPC2
252
set_global_assignment -name CYCLONE_CONFIGURATION_DEVICE EPC2
253
set_global_assignment -name STRATIX_CONFIGURATION_DEVICE EPC8
254
set_global_assignment -name EPROM_USE_CHECKSUM_AS_USERCODE ON
255
set_global_assignment -name AUTO_INCREMENT_CONFIG_DEVICE_JTAG_USER_CODE OFF
256
set_global_assignment -name DISABLE_NCS_AND_OE_PULLUPS_ON_CONFIG_DEVICE ON
257
set_global_assignment -name GENERATE_TTF_FILE ON
258
 
259
# Simulator Assignments
260
# =====================
261
set_global_assignment -name START_TIME 0NS
262
set_global_assignment -name POWER_ESTIMATION_START_TIME "0 NS"
263
set_global_assignment -name GLITCH_INTERVAL 1NS
264
 
265
# Design Assistant Assignments
266
# ============================
267
set_global_assignment -name DRC_REPORT_TOP_FANOUT OFF
268
set_global_assignment -name DRC_REPORT_FANOUT_EXCEEDING OFF
269
set_global_assignment -name ASSG_CAT OFF
270
set_global_assignment -name ASSG_RULE_MISSING_FMAX OFF
271
set_global_assignment -name ASSG_RULE_MISSING_TIMING OFF
272
set_global_assignment -name NONSYNCHSTRUCT_RULE_ASYN_RAM OFF
273
set_global_assignment -name CLK_CAT OFF
274
set_global_assignment -name CLK_RULE_COMB_CLOCK OFF
275
set_global_assignment -name CLK_RULE_INV_CLOCK OFF
276
set_global_assignment -name CLK_RULE_GATING_SCHEME OFF
277
set_global_assignment -name CLK_RULE_INPINS_CLKNET OFF
278
set_global_assignment -name CLK_RULE_CLKNET_CLKSPINES OFF
279
set_global_assignment -name CLK_RULE_MIX_EDGES OFF
280
set_global_assignment -name RESET_CAT OFF
281
set_global_assignment -name RESET_RULE_INPINS_RESETNET OFF
282
set_global_assignment -name RESET_RULE_UNSYNCH_EXRESET OFF
283
set_global_assignment -name RESET_RULE_IMSYNCH_EXRESET OFF
284
set_global_assignment -name RESET_RULE_COMB_ASYNCH_RESET OFF
285
set_global_assignment -name RESET_RULE_UNSYNCH_ASYNCH_DOMAIN OFF
286
set_global_assignment -name RESET_RULE_IMSYNCH_ASYNCH_DOMAIN OFF
287
set_global_assignment -name TIMING_CAT OFF
288
set_global_assignment -name TIMING_RULE_SHIFT_REG OFF
289
set_global_assignment -name TIMING_RULE_COIN_CLKEDGE OFF
290
set_global_assignment -name NONSYNCHSTRUCT_RULE_COMB_DRIVES_RAM_WE OFF
291
set_global_assignment -name NONSYNCHSTRUCT_CAT OFF
292
set_global_assignment -name NONSYNCHSTRUCT_RULE_COMBLOOP OFF
293
set_global_assignment -name NONSYNCHSTRUCT_RULE_REG_LOOP OFF
294
set_global_assignment -name NONSYNCHSTRUCT_RULE_DELAY_CHAIN OFF
295
set_global_assignment -name NONSYNCHSTRUCT_RULE_RIPPLE_CLK OFF
296
set_global_assignment -name NONSYNCHSTRUCT_RULE_ILLEGAL_PULSE_GEN OFF
297
set_global_assignment -name NONSYNCHSTRUCT_RULE_MULTI_VIBRATOR OFF
298
set_global_assignment -name NONSYNCHSTRUCT_RULE_SRLATCH OFF
299
set_global_assignment -name NONSYNCHSTRUCT_RULE_LATCH_UNIDENTIFIED OFF
300
set_global_assignment -name ACLK_CAT OFF
301
set_global_assignment -name ACLK_RULE_NO_SZER_ACLK_DOMAIN OFF
302
set_global_assignment -name ACLK_RULE_SZER_BTW_ACLK_DOMAIN OFF
303
set_global_assignment -name ACLK_RULE_IMSZER_ADOMAIN OFF
304
set_global_assignment -name HCPY_VREF_PINS OFF
305
 
306
# Programmer Assignments
307
# ======================
308
set_global_assignment -name GENERATE_JAM_FILE ON
309
set_global_assignment -name GENERATE_JBC_FILE ON
310
 
311
# SignalTap II Assignments
312
# ========================
313
set_global_assignment -name ENABLE_SIGNALTAP off
314
 
315
# LogicLock Region Assignments
316
# ============================
317
set_global_assignment -name LOGICLOCK_INCREMENTAL_COMPILE_ASSIGNMENT off
318
 
319
# ---------------------------------------------
320
# start EDA_TOOL_SETTINGS(eda_design_synthesis)
321
 
322
        # Analysis & Synthesis Assignments
323
        # ================================
324
        set_global_assignment -name EDA_INPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_design_synthesis
325
        set_global_assignment -name EDA_LMF_FILE synplcty.lmf -section_id eda_design_synthesis
326
 
327
        # EDA Netlist Writer Assignments
328
        # ==============================
329
        set_global_assignment -name EDA_OUTPUT_DATA_FORMAT EDIF -section_id eda_design_synthesis
330
 
331
# end EDA_TOOL_SETTINGS(eda_design_synthesis)
332
# -------------------------------------------
333
 
334
# ---------------------------------------
335
# start EDA_TOOL_SETTINGS(eda_simulation)
336
 
337
        # EDA Netlist Writer Assignments
338
        # ==============================
339
        set_global_assignment -name EDA_INCLUDE_VHDL_CONFIGURATION_DECLARATION ON -section_id eda_simulation
340
        set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation
341
 
342
# end EDA_TOOL_SETTINGS(eda_simulation)
343
# -------------------------------------
344
 
345
# --------------------------
346
# start ENTITY(leon3mini)
347
 
348
        set_instance_assignment -name PRESERVE_HIERARCHICAL_BOUNDARY FIRM -to "ahbrom:\\bpromgen:brom"
349
        # Timing Assignments
350
        # ==================
351
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[0\]
352
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[1\]
353
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[2\]
354
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[3\]
355
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[4\]
356
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[5\]
357
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[6\]
358
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[7\]
359
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[8\]
360
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[9\]
361
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[10\]
362
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[11\]
363
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[12\]
364
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[13\]
365
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[14\]
366
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[15\]
367
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[16\]
368
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[17\]
369
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[18\]
370
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[19\]
371
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[20\]
372
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[21\]
373
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[22\]
374
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[23\]
375
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[24\]
376
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[25\]
377
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[26\]
378
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[27\]
379
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[28\]
380
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[29\]
381
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[30\]
382
        set_instance_assignment -name TSU_REQUIREMENT 10ns -from * -to data\[31\]
383
 
384
        # Fitter Assignments
385
        # ==================
386
        set_instance_assignment -name GLOBAL_SIGNAL ON -to etx_clk
387
        set_instance_assignment -name GLOBAL_SIGNAL ON -to erx_clk
388
        set_instance_assignment -name GLOBAL_SIGNAL ON -to clk
389
        set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to clk
390
        set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to etx_clk
391
        set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to erx_clk
392
        set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to dsuen
393
#       set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[0]
394
#       set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[1]
395
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[2]
396
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[3]
397
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[4]
398
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[5]
399
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[6]
400
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[7]
401
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[8]
402
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[9]
403
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[10]
404
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[11]
405
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[12]
406
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[13]
407
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[14]
408
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[15]
409
#       set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[16]
410
#       set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[17]
411
#       set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[18]
412
#       set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[19]
413
#       set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[20]
414
#       set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[21]
415
#       set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[22]
416
#       set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[23]
417
#       set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[24]
418
#       set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[25]
419
#       set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[26]
420
#       set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to address[27]
421
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[0]
422
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[1]
423
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[2]
424
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[3]
425
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[4]
426
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[5]
427
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[6]
428
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[7]
429
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[8]
430
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[9]
431
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[10]
432
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[11]
433
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[12]
434
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[13]
435
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[14]
436
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[15]
437
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[16]
438
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[17]
439
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[18]
440
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[19]
441
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[20]
442
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[21]
443
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[22]
444
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[23]
445
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[24]
446
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[25]
447
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[26]
448
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[27]
449
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[28]
450
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[29]
451
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[30]
452
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to data[31]
453
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to erx_clk
454
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to erxd[0]
455
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to erxd[1]
456
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to erxd[2]
457
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to erxd[3]
458
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to etx_clk
459
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to etxd[0]
460
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to etxd[1]
461
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to etxd[2]
462
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to etxd[3]
463
#       set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to rben[0]
464
#       set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to rben[1]
465
#       set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to rben[2]
466
#       set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to rben[3]
467
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to sdba[0]
468
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to sdba[1]
469
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to sdcasn
470
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to sdclk
471
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to sdcsn[0]
472
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to sdcsn[1]
473
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to sddqm[0]
474
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to sddqm[1]
475
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to sddqm[2]
476
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to sddqm[3]
477
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to sdrasn
478
        set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to sdwen
479
#       set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to writen
480
# end ENTITY(leon3mini)
481
# ---------------------
482
 
483
set_global_assignment -name SMART_RECOMPILE ON
484
set_global_assignment -name NUM_PARALLEL_PROCESSORS 2
485
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
486
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
487
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
488
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
489
set_global_assignment -name OPTIMIZE_HOLD_TIMING "ALL PATHS"

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.