OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [boards/] [ge-hpe-mini-lattice/] [default.sdc] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
# Synplicity, Inc. constraint file
2
# /home/jiri/ibm/vhdl/grlib/boards/gr-pci-xc2v/default.sdc
3
# Written on Fri Jul 30 18:56:40 2004
4
# by Synplify Pro, 7.6        Scope Editor
5
 
6
#
7
# Clocks
8
#
9
#define_clock -name   {clk}  -freq 35.000 -clockgroup default_clkgroup
10
 
11
#define_clock -name   {clkgen0.clkm_inferred_clock}  -freq 60.000 -clockgroup clkmgroup
12
define_clock -name   {clkgen0.ddrclk0_inferred_clock}  -freq 120.000 -clockgroup ddrclkgroup
13
 
14
#
15
# Inputs/Outputs
16
#
17
#define_output_delay -disable     -default  14.00 -improve 0.00 -route 0.00 -ref {clk:r}
18
define_input_delay  -default  4.00 -improve 0.00 -route 0.00 -ref {clkgen0.ddrclk0_inferred_clock:r}
19
 
20
#
21
# Registers
22
#
23
 
24
#
25
# Multicycle Path
26
#
27
 
28
#
29
# False Path
30
#
31
 
32
#
33
# Delay Path
34
#
35
 
36
#
37
# Attributes
38
#
39
define_global_attribute syn_useioff {1}
40
define_global_attribute syn_netlist_hierarchy {0}
41
#
42
# Compile Points
43
#
44
 
45
#
46
# Other Constraints
47
#

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.