OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-actel-proasic3/] [leon3mp.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
-----------------------------------------------------------------------------
2
--  LEON3 Demonstration design
3
--  Copyright (C) 2004 Jiri Gaisler, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA
18
------------------------------------------------------------------------------
19
 
20
 
21
library ieee;
22
use ieee.std_logic_1164.all;
23
library grlib;
24
use grlib.amba.all;
25
use grlib.stdlib.all;
26
library techmap;
27
use techmap.gencomp.all;
28
library gaisler;
29
use gaisler.memctrl.all;
30
use gaisler.leon3.all;
31
use gaisler.uart.all;
32
use gaisler.misc.all;
33
use gaisler.net.all;
34
use gaisler.can.all;
35
use gaisler.jtag.all;
36
library esa;
37
use esa.memoryctrl.all;
38
use work.config.all;
39
 
40
entity leon3mp is
41
  generic (
42
    fabtech   : integer := CFG_FABTECH;
43
    memtech   : integer := CFG_MEMTECH;
44
    padtech   : integer := CFG_PADTECH;
45
    clktech   : integer := CFG_CLKTECH;
46
    disas     : integer := CFG_DISAS;   -- Enable disassembly to console
47
    dbguart   : integer := CFG_DUART;   -- Print UART on console
48
    pclow     : integer := CFG_PCLOW
49
  );
50
  port (
51
    resetn      : in  std_ulogic;
52
    clk         : in  std_ulogic;
53
    errorn      : out std_ulogic;
54
    address     : out std_logic_vector(18 downto 0);
55
    data        : inout std_logic_vector(31 downto 0);
56
    dsutx       : out std_ulogic;                       -- DSU tx data
57
    dsurx       : in  std_ulogic;                       -- DSU rx data
58
--    dsuen     : in std_ulogic;
59
    dsubre      : in std_ulogic;
60
    dsuact      : out std_ulogic;
61
    txd1        : out std_ulogic;                       -- UART1 tx data
62
    rxd1        : in  std_ulogic;                       -- UART1 rx data
63
    ramsn       : out std_logic;
64
    ramoen      : out std_logic;
65
    ramben      : out std_logic_vector (3 downto 0);
66
    rwen        : out std_logic;
67
    oen         : out std_ulogic;
68
    writen      : out std_ulogic;
69
--    read      : out std_ulogic;
70
    romsn       : out std_logic;
71
    iosn        : out std_logic;
72
    ramclk      : out std_logic;
73
    gpio        : inout std_logic_vector(6 downto 0);    -- I/O port
74
 
75
    flash_byten : out std_logic;
76
    flash_rpn   : out std_logic;
77
    sram_pwrdwn : out std_logic;
78
    sram_gwen   : out std_logic;
79
    sram_adsc   : out std_logic;
80
    sram_adsp   : out std_logic;
81
    sram_adv    : out std_logic;
82
 
83
    can_txd     : out std_logic;
84
    can_rxd     : in  std_logic;
85
 
86
    emdio       : inout std_logic;              -- ethernet PHY interface
87
    etx_clk     : in std_ulogic;
88
    erx_clk     : in std_ulogic;
89
    erxd        : in std_logic_vector(3 downto 0);
90
    erx_dv      : in std_ulogic;
91
    erx_er      : in std_ulogic;
92
    erx_col     : in std_ulogic;
93
    erx_crs     : in std_ulogic;
94
    etxd        : out std_logic_vector(3 downto 0);
95
    etx_en      : out std_ulogic;
96
    etx_er      : out std_ulogic;
97
    emdc        : out std_ulogic;
98
    led         : out std_logic_vector(5 downto 0);
99
    tck         : in  std_ulogic := '0';
100
    tms         : in  std_ulogic := '0';
101
    tdi         : in  std_ulogic := '0';
102
    trst        : in  std_ulogic := '0';
103
    tdo         : out std_ulogic
104
        );
105
end;
106
 
107
architecture rtl of leon3mp is
108
 
109
constant blength : integer := 12;
110
constant fifodepth : integer := 8;
111
 
112
constant maxahbmsp : integer := CFG_NCPU+CFG_AHB_UART+
113
        CFG_GRETH+CFG_AHB_JTAG;
114
constant maxahbm : integer := maxahbmsp;
115
 
116
signal vcc, gnd : std_logic_vector(4 downto 0);
117
signal memi  : memory_in_type;
118
signal memo  : memory_out_type;
119
signal wpo   : wprot_out_type;
120
signal sdi   : sdctrl_in_type;
121
signal sdo   : sdram_out_type;
122
signal sdo2, sdo3 : sdctrl_out_type;
123
 
124
signal apbi  : apb_slv_in_type;
125
signal apbo  : apb_slv_out_vector := (others => apb_none);
126
signal ahbsi : ahb_slv_in_type;
127
signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
128
signal ahbmi : ahb_mst_in_type;
129
signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
130
 
131
signal clkm, rstn, rstraw, pciclk, sdclkl : std_ulogic;
132
signal cgi   : clkgen_in_type;
133
signal cgo   : clkgen_out_type;
134
signal u1i, u2i, dui : uart_in_type;
135
signal u1o, u2o, duo : uart_out_type;
136
 
137
signal irqi : irq_in_vector(0 to CFG_NCPU-1);
138
signal irqo : irq_out_vector(0 to CFG_NCPU-1);
139
 
140
signal dbgi : l3_debug_in_vector(0 to CFG_NCPU-1);
141
signal dbgo : l3_debug_out_vector(0 to CFG_NCPU-1);
142
 
143
signal dsui : dsu_in_type;
144
signal dsuo : dsu_out_type;
145
 
146
signal ethi, ethi1, ethi2 : eth_in_type;
147
signal etho, etho1, etho2 : eth_out_type;
148
 
149
signal gpti : gptimer_in_type;
150
signal gpto : gptimer_out_type;
151
 
152
signal gpioi : gpio_in_type;
153
signal gpioo : gpio_out_type;
154
signal can_lrx, can_ltx   : std_ulogic;
155
 
156
signal lclk, letx_clk : std_ulogic;
157
 
158
--signal tck, tms, tdi, tdo : std_ulogic;
159
signal resetnl, clk2x, spw_clkl   : std_ulogic;
160
 
161
constant IOAEN : integer := CFG_CAN;
162
 
163
constant sysfreq : integer := (CFG_CLKMUL/CFG_CLKDIV)*48000;
164
constant boardfreq : integer := 48000;
165
begin
166
 
167
  flash_byten <= '1';
168
  flash_rpn <= rstn;
169
  sram_pwrdwn <= '0';
170
  sram_gwen  <= '1';
171
  sram_adsc <= '0';
172
  sram_adsp <= '1';
173
 
174
----------------------------------------------------------------------
175
---  Reset and Clock generation  -------------------------------------
176
----------------------------------------------------------------------
177
 
178
  vcc <= (others => '1'); gnd <= (others => '0');
179
  cgi.pllctrl <= "00"; cgi.pllrst <= rstraw;
180
 
181
  ramclk <= clkm;
182
  clk_pad : inpad generic map (tech => 0) port map (clk, lclk);
183
 
184
  clkgen0 : clkgen              -- clock generator
185
    generic map (clktech, CFG_CLKMUL, CFG_CLKDIV, CFG_MCTRL_SDEN,
186
        CFG_CLK_NOFB, 0, 0, 0, boardfreq, 0, 0, CFG_OCLKDIV)
187
    port map (lclk, lclk, clkm, open, clk2x, sdclkl, pciclk, cgi, cgo);
188
 
189
  resetn_pad : inpad generic map (tech => padtech) port map (resetn, resetnl);
190
  rst0 : rstgen                 -- reset generator
191
  port map (resetnl, clkm, cgo.clklock, rstn, rstraw);
192
  led(5) <= cgo.clklock;
193
 
194
----------------------------------------------------------------------
195
---  AHB CONTROLLER --------------------------------------------------
196
----------------------------------------------------------------------
197
 
198
  ahb0 : ahbctrl                -- AHB arbiter/multiplexer
199
  generic map (defmast => CFG_DEFMST, split => CFG_SPLIT,
200
        rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO,
201
        ioen => IOAEN, nahbm => maxahbm, nahbs => 8)
202
  port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso);
203
 
204
----------------------------------------------------------------------
205
---  LEON3 processor and DSU -----------------------------------------
206
----------------------------------------------------------------------
207
 
208
  l3 : if CFG_LEON3 = 1 generate
209
    cpu : for i in 0 to CFG_NCPU-1 generate
210
      u0 : leon3s                       -- LEON3 processor      
211
      generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
212
        0, CFG_MAC, pclow, 0, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
213
        CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
214
        CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
215
          CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
216
          CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1)
217
      port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
218
                irqi(i), irqo(i), dbgi(i), dbgo(i));
219
    end generate;
220
    errorn_pad : outpad generic map (tech => padtech) port map (errorn, dbgo(0).error);
221
 
222
    dsugen : if CFG_DSU = 1 generate
223
      dsu0 : dsu3                       -- LEON3 Debug Support Unit
224
      generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#,
225
         ncpu => CFG_NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ)
226
      port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo);
227
--      dsuen_pad : inpad generic map (tech => padtech) port map (dsuen, dsui.enable); 
228
        dsui.enable <= '1';
229
      dsubre_pad : inpad generic map (tech => padtech) port map (dsubre, dsui.break);
230
      dsuact_pad : outpad generic map (tech => padtech) port map (dsuact, dsuo.active);
231
    end generate;
232
  end generate;
233
 
234
  nodsu : if CFG_DSU = 0 generate
235
    ahbso(2) <= ahbs_none; dsuo.tstop <= '0'; dsuo.active <= '0';
236
  end generate;
237
 
238
  dcomgen : if CFG_AHB_UART = 1 generate
239
    dcom0: ahbuart              -- Debug UART
240
    generic map (hindex => CFG_NCPU, pindex => 7, paddr => 7)
241
    port map (rstn, clkm, dui, duo, apbi, apbo(7), ahbmi, ahbmo(CFG_NCPU));
242
    dsurx_pad : inpad generic map (tech => padtech) port map (dsurx, dui.rxd);
243
    dsutx_pad : outpad generic map (tech => padtech) port map (dsutx, duo.txd);
244
    led(0) <= not dui.rxd; led(1) <= not duo.txd;
245
  end generate;
246
  nouah : if CFG_AHB_UART = 0 generate apbo(7) <= apb_none; end generate;
247
 
248
  ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate
249
    ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => CFG_NCPU+CFG_AHB_UART,
250
                                   ainst => 16, dinst => 17)
251
      port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART),
252
               open, open, open, open, open, open, open, gnd(0), trst);
253
  end generate;
254
 
255
----------------------------------------------------------------------
256
---  Memory controllers ----------------------------------------------
257
----------------------------------------------------------------------
258
 
259
  ssr0 : if CFG_SSCTRL = 1 generate
260
    ssrctrl0 : ssrctrl generic map (hindex => 0, pindex => 0, bus16 => CFG_SSCTRLP16)
261
    port map (rstn, clkm, ahbsi, ahbso(0), apbi, apbo(0), memi, memo);
262
    sram_adv <= '0';
263
    ramben_pads : for i in 0 to 3 generate
264
      x : outpad generic map (tech => padtech)
265
        port map (ramben(i), memo.wrn(3-i));
266
    end generate;
267
  end generate;
268
 
269
  mctrl2 : if (CFG_MCTRL_LEON2 = 1) and (CFG_SSCTRL = 0) generate        -- LEON2 memory controller
270
    sr1 : mctrl generic map (hindex => 0, pindex => 0, paddr => 0,
271
        srbanks => 2, sden => CFG_MCTRL_SDEN, ram8 => CFG_MCTRL_RAM8BIT,
272
        ram16 => CFG_MCTRL_RAM16BIT, invclk => CFG_MCTRL_INVCLK)
273
    port map (rstn, clkm, memi, memo, ahbsi, ahbso(0), apbi, apbo(0), wpo, sdo);
274
    sram_adv <= '1';
275
    ramben_pads : for i in 0 to 3 generate
276
      x : outpad generic map (tech => padtech)
277
        port map (ramben(i), memo.mben(3-i));
278
    end generate;
279
  end generate;
280
 
281
  mempads : if (CFG_MCTRL_LEON2 = 1) or (CFG_SSCTRL = 1) generate       -- LEON2 memory controller
282
    addr_pad : outpadv generic map (width => 19, tech => padtech)
283
        port map (address, memo.address(20 downto 2));
284
    rams_pad : outpad generic map (tech => padtech)
285
        port map (ramsn, memo.ramsn(0));
286
    roms_pad : outpad generic map (tech => padtech)
287
        port map (romsn, memo.romsn(0));
288
    iosn_pad : outpad generic map (tech => padtech)
289
        port map (iosn, memo.iosn);
290
    oen_pad  : outpad generic map (tech => padtech)
291
        port map (oen, memo.oen);
292
    rwen_pad : outpad generic map (tech => padtech)
293
        port map (rwen, memo.writen);
294
    roen_pad : outpad generic map (tech => padtech)
295
        port map (ramoen, memo.ramoen(0));
296
    wri_pad  : outpad generic map (tech => padtech)
297
        port map (writen, memo.writen);
298
--    read_pad : outpad generic map (tech => padtech) 
299
--      port map (read, memo.read); 
300
 
301
    bdr : for i in 0 to 3 generate
302
      data_pad : iopadv generic map (tech => padtech, width => 8)
303
      port map (data(31-i*8 downto 24-i*8), memo.data(31-i*8 downto 24-i*8),
304
        memo.bdrive(i), memi.data(31-i*8 downto 24-i*8));
305
    end generate;
306
 
307
  end generate;
308
 
309
  memi.brdyn <= '1'; memi.bexcn <= '1';
310
  memi.writen <= '1'; memi.wrn <= "1111"; memi.bwidth <= "10";
311
 
312
----------------------------------------------------------------------
313
---  APB Bridge and various periherals -------------------------------
314
----------------------------------------------------------------------
315
 
316
  bpromgen : if CFG_AHBROMEN /= 0 generate
317
    brom : entity work.ahbrom
318
      generic map (hindex => 8, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP)
319
      port map ( rstn, clkm, ahbsi, ahbso(8));
320
  end generate;
321
  nobpromgen : if CFG_AHBROMEN = 0 generate
322
     ahbso(8) <= ahbs_none;
323
  end generate;
324
 
325
----------------------------------------------------------------------
326
---  APB Bridge and various periherals -------------------------------
327
----------------------------------------------------------------------
328
 
329
  apb0 : apbctrl                                -- AHB/APB bridge
330
  generic map (hindex => 1, haddr => CFG_APBADDR)
331
  port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo );
332
 
333
  ua1 : if CFG_UART1_ENABLE /= 0 generate
334
    uart1 : apbuart                     -- UART 1
335
    generic map (pindex => 1, paddr => 1,  pirq => 2, console => dbguart,
336
        fifosize => CFG_UART1_FIFO)
337
    port map (rstn, clkm, apbi, apbo(1), u1i, u1o);
338
    u1i.rxd <= rxd1; u1i.extclk <= '0'; txd1 <= u1o.txd;
339
    u1i.ctsn <= '0'; --rtsn1 <= u1o.rtsn;
340
    led(2) <= not u1i.rxd; led(3) <= not u1o.txd;
341
  end generate;
342
  noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate;
343
 
344
  irqctrl : if CFG_IRQ3_ENABLE /= 0 generate
345
    irqctrl0 : irqmp                    -- interrupt controller
346
    generic map (pindex => 2, paddr => 2, ncpu => CFG_NCPU)
347
    port map (rstn, clkm, apbi, apbo(2), irqo, irqi);
348
  end generate;
349
  irq3 : if CFG_IRQ3_ENABLE = 0 generate
350
    x : for i in 0 to CFG_NCPU-1 generate
351
      irqi(i).irl <= "0000";
352
    end generate;
353
    apbo(2) <= apb_none;
354
  end generate;
355
 
356
  gpt : if CFG_GPT_ENABLE /= 0 generate
357
    timer0 : gptimer                    -- timer unit
358
    generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ,
359
        sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM,
360
        nbits => CFG_GPT_TW)
361
    port map (rstn, clkm, apbi, apbo(3), gpti, gpto);
362
    gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0';
363
    led(4) <= gpto.wdog;
364
  end generate;
365
  notim : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate;
366
 
367
  gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate     -- GR GPIO unit
368
    grgpio0: grgpio
369
      generic map( pindex => 11, paddr => 11, imask => CFG_GRGPIO_IMASK, nbits => 7)
370
      port map( rstn, clkm, apbi, apbo(11), gpioi, gpioo);
371
 
372
      pio_pads : for i in 0 to 6 generate
373
        pio_pad : iopad generic map (tech => padtech)
374
            port map (gpio(i), gpioo.dout(i), gpioo.oen(i), gpioi.din(i));
375
      end generate;
376
   end generate;
377
 
378
-----------------------------------------------------------------------
379
---  ETHERNET ---------------------------------------------------------
380
-----------------------------------------------------------------------
381
 
382
  eth0 : if CFG_GRETH = 1 generate -- Gaisler ethernet MAC
383
      e1 : greth generic map(hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
384
        pindex => 15, paddr => 15, pirq => 12, memtech => memtech,
385
        mdcscaler => sysfreq/1000, enable_mdio => 1, fifosize => CFG_ETH_FIFO,
386
        nsync => 1, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF,
387
        macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL,
388
        ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL)
389
     port map( rst => rstn, clk => clkm, ahbmi => ahbmi,
390
       ahbmo => ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG), apbi => apbi,
391
       apbo => apbo(15), ethi => ethi, etho => etho);
392
 
393
      emdio_pad : iopad generic map (tech => padtech)
394
      port map (emdio, etho.mdio_o, etho.mdio_oe, ethi.mdio_i);
395
      xx : techbuf generic map (tech => fabtech, buftype => 2) port map (etx_clk, letx_clk);
396
      etxc_pad : inpad generic map (tech => padtech)
397
        port map (letx_clk, ethi.tx_clk);
398
      erxc_pad : clkpad generic map (tech => padtech, arch => 1)
399
        port map (erx_clk, ethi.rx_clk);
400
      erxd_pad : inpadv generic map (tech => padtech, width => 4)
401
        port map (erxd, ethi.rxd(3 downto 0));
402
      erxdv_pad : inpad generic map (tech => padtech)
403
        port map (erx_dv, ethi.rx_dv);
404
      erxer_pad : inpad generic map (tech => padtech)
405
        port map (erx_er, ethi.rx_er);
406
      erxco_pad : inpad generic map (tech => padtech)
407
        port map (erx_col, ethi.rx_col);
408
      erxcr_pad : inpad generic map (tech => padtech)
409
        port map (erx_crs, ethi.rx_crs);
410
 
411
      etxd_pad : outpadv generic map (tech => padtech, width => 4)
412
        port map (etxd, etho.txd(3 downto 0));
413
      etxen_pad : outpad generic map (tech => padtech)
414
        port map ( etx_en, etho.tx_en);
415
      etxer_pad : outpad generic map (tech => padtech)
416
        port map (etx_er, etho.tx_er);
417
      emdc_pad : outpad generic map (tech => padtech)
418
        port map (emdc, etho.mdc);
419
 
420
  end generate;
421
 
422
-----------------------------------------------------------------------
423
---  CAN --------------------------------------------------------------
424
-----------------------------------------------------------------------
425
   can0 : if CFG_CAN = 1 generate
426
     can0 : can_oc generic map (slvndx => 6, ioaddr => CFG_CANIO,
427
        iomask => 16#FF0#, irq => CFG_CANIRQ, memtech => memtech)
428
      port map (rstn, clkm, ahbsi, ahbso(6), can_lrx, can_ltx );
429
   end generate;
430
--   ncan : if CFG_CAN = 0 generate ahbso(6) <= ahbs_none; end generate;
431
 
432
   can_loopback : if CFG_CANLOOP = 1 generate
433
     can_lrx <= can_ltx;
434
   end generate;
435
 
436
   can_pads : if CFG_CANLOOP = 0 generate
437
      can_tx_pad : outpad generic map (tech => padtech)
438
        port map (can_txd, can_ltx);
439
      can_rx_pad : inpad generic map (tech => padtech)
440
        port map (can_rxd, can_lrx);
441
    end generate;
442
 
443
-----------------------------------------------------------------------
444
---  AHB RAM ----------------------------------------------------------
445
-----------------------------------------------------------------------
446
 
447
  ocram : if CFG_AHBRAMEN = 1 generate
448
    ahbram0 : ahbram generic map (hindex => 7, haddr => CFG_AHBRADDR,
449
        tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ)
450
    port map ( rstn, clkm, ahbsi, ahbso(7));
451
  end generate;
452
  nram : if CFG_AHBRAMEN = 0 generate ahbso(7) <= ahbs_none; end generate;
453
 
454
-----------------------------------------------------------------------
455
---  Boot message  ----------------------------------------------------
456
-----------------------------------------------------------------------
457
 
458
-- pragma translate_off
459
  x : report_version
460
  generic map (
461
   msg1 => "LEON3 Actel PROASIC3-1000 Demonstration design",
462
   msg2 => "GRLIB Version " & tost(LIBVHDL_VERSION/1000) & "." & tost((LIBVHDL_VERSION mod 1000)/100)
463
        & "." & tost(LIBVHDL_VERSION mod 100) & ", build " & tost(LIBVHDL_BUILD),
464
   msg3 => "Target technology: " & tech_table(fabtech) & ",  memory library: " & tech_table(memtech),
465
   mdel => 1
466
  );
467
-- pragma translate_on
468
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.