OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-altera-ep2s60-sdr/] [leon3mp.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  LEON3 Demonstration design
3
--  Copyright (C) 2004 Jiri Gaisler, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA
18
 
19
 
20
library ieee;
21
use ieee.std_logic_1164.all;
22
library grlib;
23
use grlib.amba.all;
24
use grlib.stdlib.all;
25
library techmap;
26
use techmap.gencomp.all;
27
library gaisler;
28
use gaisler.memctrl.all;
29
use gaisler.leon3.all;
30
use gaisler.uart.all;
31
use gaisler.misc.all;
32
use gaisler.ata.all;
33
use gaisler.jtag.all;
34
library esa;
35
use esa.memoryctrl.all;
36
use work.config.all;
37
 
38
entity leon3mp is
39
  generic (
40
    fabtech : integer := CFG_FABTECH;
41
    memtech : integer := CFG_MEMTECH;
42
    padtech : integer := CFG_PADTECH;
43
    clktech : integer := CFG_CLKTECH;
44
    ncpu    : integer := CFG_NCPU;
45
    disas   : integer := CFG_DISAS;     -- Enable disassembly to console
46
    dbguart : integer := CFG_DUART;     -- Print UART on console
47
    pclow   : integer := CFG_PCLOW;
48
    freq    : integer := 50000         -- frequency of main clock (used for PLLs)
49
    );
50
  port (
51
 
52
    resetn  : in  std_ulogic;
53
    clk     : in  std_ulogic;
54
    errorn   : out   std_ulogic;
55
 
56
    -- Shared bus
57
    address : out   std_logic_vector(23 downto 0);
58
    data    : inout std_logic_vector(31 downto 0);
59
 
60
    -- SRAM
61
    ramsn   : out   std_ulogic;
62
    ramoen  : out   std_ulogic;
63
    rwen    : out   std_ulogic;
64
    mben    : out   std_logic_vector(3 downto 0);
65
-- pragma translate_off
66
    iosn    : out   std_ulogic;
67
-- pragma translate_on
68
 
69
    -- FLASH
70
    romsn   : out   std_ulogic;
71
    oen     : out   std_ulogic;
72
    writen  : out   std_ulogic;
73
    byten   : out   std_ulogic;
74
    wpn     : out   std_ulogic;
75
 
76
    sa     : out std_logic_vector(11 downto 0);
77
    sd     : inout std_logic_vector(31 downto 0);
78
    sdclk  : out std_ulogic;
79
    sdcke  : out std_logic;                      -- sdram clock enable
80
    sdcsn  : out std_logic;                      -- sdram chip select
81
    sdwen  : out std_ulogic;                     -- sdram write enable
82
    sdrasn : out std_ulogic;                     -- sdram ras
83
    sdcasn : out std_ulogic;                     -- sdram cas
84
    sddqm  : out std_logic_vector (3 downto 0);  -- sdram dqm
85
    sdba   : out std_logic_vector(1 downto 0);   -- sdram bank address
86
 
87
    -- debug support unit
88
    dsutx               : out std_ulogic;           -- DSU tx data
89
    dsurx               : in  std_ulogic;           -- DSU rx data
90
    dsubren             : in  std_ulogic;
91
    dsuact              : out std_ulogic;
92
 
93
    -- console UART
94
    rxd1 : in  std_ulogic;
95
    txd1 : out std_ulogic;
96
 
97
    -- ATA signals
98
-- pragma translate_off
99
    ata_rst   : out std_logic;
100
-- pragma translate_on
101
    ata_data  : inout std_logic_vector(15 downto 0);
102
    ata_da    : out std_logic_vector(2 downto 0);
103
    ata_cs0   : out std_logic;
104
    ata_cs1   : out std_logic;
105
    ata_dior  : out std_logic;
106
    ata_diow  : out std_logic;
107
    ata_iordy : in std_logic;
108
    ata_intrq : in std_logic;
109
    ata_dmack : out std_logic;
110
 
111
    -- Signals nedded to use CompactFlash with ATA controller
112
    cf_power   : out std_logic; -- To turn on power to the CompactFlash 
113
    cf_gnd_da  : out std_logic_vector(10 downto 3); -- grounded address lines
114
    cf_atasel  : out std_logic; -- grounded to select true IDE mode
115
    cf_we      : out std_logic; -- should be connected to VCC in true IDE mode
116
    cf_csel    : out std_logic;
117
 
118
    -- for smsc lan chip
119
    eth_aen   : out std_logic;
120
    eth_readn : out std_logic;
121
    eth_writen: out std_logic;
122
    eth_nbe   : out std_logic_vector(3 downto 0);
123
 
124
    eth_lclk     : out std_ulogic;
125
    eth_nads     : out std_logic;
126
    eth_ncycle   : out std_logic;
127
    eth_wnr      : out std_logic;
128
    eth_nvlbus   : out std_logic;
129
    eth_nrdyrtn  : out std_logic;
130
    eth_ndatacs  : out std_logic;
131
 
132
    gpio         : inout std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0)   -- I/O port
133
    );
134
end;
135
 
136
architecture rtl of leon3mp is
137
 
138
  constant blength   : integer := 12;
139
  constant fifodepth : integer := 8;
140
 
141
  constant maxahbm : integer := NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_ATA;
142
 
143
  signal vcc, gnd   : std_logic_vector(7 downto 0);
144
  signal memi       : memory_in_type;
145
  signal memo       : memory_out_type;
146
  signal wpo        : wprot_out_type;
147
  signal sdi        : sdctrl_in_type;
148
  signal sdo        : sdram_out_type;
149
  signal sdo2, sdo3 : sdctrl_out_type;
150
 
151
  --for smc lan chip
152
  signal s_eth_aen   : std_logic;
153
  signal s_eth_readn : std_logic;
154
  signal s_eth_writen: std_logic;
155
  signal s_eth_nbe   : std_logic_vector(3 downto 0);
156
 
157
  signal apbi  : apb_slv_in_type;
158
  signal apbo  : apb_slv_out_vector := (others => apb_none);
159
  signal ahbsi : ahb_slv_in_type;
160
  signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
161
  signal ahbmi : ahb_mst_in_type;
162
  signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
163
 
164
  signal clkm, rstn, sdclkl : std_ulogic;
165
  signal cgi                : clkgen_in_type;
166
  signal cgo                : clkgen_out_type;
167
  signal u1i, dui           : uart_in_type;
168
  signal u1o, duo           : uart_out_type;
169
 
170
  signal irqi : irq_in_vector(0 to NCPU-1);
171
  signal irqo : irq_out_vector(0 to NCPU-1);
172
 
173
  signal dbgi : l3_debug_in_vector(0 to NCPU-1);
174
  signal dbgo : l3_debug_out_vector(0 to NCPU-1);
175
 
176
  signal dsui : dsu_in_type;
177
  signal dsuo : dsu_out_type;
178
 
179
  signal cf  : cf_out_type;
180
  signal atai : ata_in_type;
181
  signal atao : ata_out_type;
182
 
183
  signal gpti : gptimer_in_type;
184
  signal gpioi : gpio_in_type;
185
  signal gpioo : gpio_out_type;
186
 
187
  constant IOAEN : integer := 1;
188
  constant CFG_SDEN : integer := CFG_MCTRL_SDEN ;
189
  constant CFG_INVCLK : integer := CFG_MCTRL_INVCLK;
190
 
191
  signal dsubre : std_ulogic;
192
 
193
  component smc_mctrl
194
  generic (
195
    hindex    : integer := 0;
196
    pindex    : integer := 0;
197
    romaddr   : integer := 16#000#;
198
    rommask   : integer := 16#E00#;
199
    ioaddr    : integer := 16#200#;
200
    iomask    : integer := 16#E00#;
201
    ramaddr   : integer := 16#400#;
202
    rammask   : integer := 16#C00#;
203
    paddr     : integer := 0;
204
    pmask     : integer := 16#fff#;
205
    wprot     : integer := 0;
206
    invclk    : integer := 0;
207
    fast      : integer := 0;
208
    romasel   : integer := 28;
209
    sdrasel   : integer := 29;
210
    srbanks   : integer := 4;
211
    ram8      : integer := 0;
212
    ram16     : integer := 0;
213
    sden      : integer := 0;
214
    sepbus    : integer := 0;
215
    sdbits    : integer := 32;
216
    sdlsb     : integer := 2;
217
    oepol     : integer := 0;
218
    syncrst   : integer := 0
219
  );
220
  port (
221
    rst       : in  std_ulogic;
222
    clk       : in  std_ulogic;
223
    memi      : in  memory_in_type;
224
    memo      : out memory_out_type;
225
    ahbsi     : in  ahb_slv_in_type;
226
    ahbso     : out ahb_slv_out_type;
227
    apbi      : in  apb_slv_in_type;
228
    apbo      : out apb_slv_out_type;
229
    wpo       : in  wprot_out_type;
230
    sdo       : out sdram_out_type;
231
    eth_aen   : out std_ulogic; -- for smsc lan chip
232
    eth_readn : out std_ulogic; -- for smsc lan chip
233
    eth_writen: out std_ulogic;  -- for smsc lan chip
234
    eth_nbe   : out std_logic_vector(3 downto 0) -- for smsc lan chip
235
  );
236
  end component;
237
 
238
begin
239
 
240
----------------------------------------------------------------------
241
---  Reset and Clock generation  -------------------------------------
242
----------------------------------------------------------------------
243
 
244
  vcc <= (others => '1'); gnd <= (others => '0');
245
  cgi.pllctrl <= "00"; cgi.pllrst <= not resetn; cgi.pllref <= '0';
246
 
247
  clkgen0 : clkgen  -- clock generator using toplevel generic 'freq'
248
    generic map (tech => CFG_CLKTECH, clk_mul => CFG_CLKMUL,
249
                 clk_div => CFG_CLKDIV, sdramen => CFG_MCTRL_SDEN,
250
                 noclkfb => CFG_CLK_NOFB,  freq => freq)
251
    port map (clkin => clk, pciclkin => gnd(0), clk => clkm, clkn => open,
252
              clk2x => open, sdclk => sdclkl, pciclk => open,
253
              cgi => cgi, cgo => cgo);
254
 
255
  sdclk_pad : outpad generic map (tech => padtech, slew => 1, strength => 24) port map (sdclk, sdclkl);
256
 
257
  rst0 : rstgen                         -- reset generator
258
    port map (resetn, clkm, cgo.clklock, rstn);
259
 
260
---------------------------------------------------------------------- 
261
---  AHB CONTROLLER --------------------------------------------------
262
----------------------------------------------------------------------
263
 
264
  ahb0 : ahbctrl                -- AHB arbiter/multiplexer
265
  generic map (defmast => CFG_DEFMST, split => CFG_SPLIT,
266
        rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO,
267
        ioen => IOAEN, nahbm => maxahbm, nahbs => 8)
268
  port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso);
269
 
270
----------------------------------------------------------------------
271
---  LEON3 processor and DSU -----------------------------------------
272
----------------------------------------------------------------------
273
 
274
  l3 : if CFG_LEON3 = 1 generate
275
    cpu : for i in 0 to NCPU-1 generate
276
      u0 : leon3s                         -- LEON3 processor
277
        generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
278
                   0, CFG_MAC, pclow, 0, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
279
                   CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
280
                   CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
281
                   CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
282
                   CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, NCPU-1)
283
        port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
284
                irqi(i), irqo(i), dbgi(i), dbgo(i));
285
    end generate;
286
    errorn_pad : odpad generic map (tech => padtech) port map (errorn, dbgo(0).error);
287
 
288
    dsugen : if CFG_DSU = 1 generate
289
      dsu0 : dsu3                         -- LEON3 Debug Support Unit
290
        generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#,
291
                   ncpu   => NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ)
292
        port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo);
293
 
294
      dsui.enable <= '1';
295
 
296
      dsubre_pad : inpad generic map (tech  => padtech) port map (dsubre, dsui.break);
297
      dsuact_pad : outpad generic map (tech => padtech) port map (dsuact, dsuo.active);
298
    end generate;
299
  end generate;
300
  nodcom : if CFG_DSU = 0 generate ahbso(2) <= ahbs_none; end generate;
301
 
302
  dcomgen : if CFG_AHB_UART = 1 generate
303
    dcom0 : ahbuart                     -- Debug UART
304
      generic map (hindex => NCPU, pindex => 4, paddr => 7)
305
      port map (rstn, clkm, dui, duo, apbi, apbo(4), ahbmi, ahbmo(NCPU));
306
    dsurx_pad : inpad generic map (tech  => padtech) port map (dsurx, dui.rxd);
307
    dsutx_pad : outpad generic map (tech => padtech) port map (dsutx, duo.txd);
308
  end generate;
309
  nouah : if CFG_AHB_UART = 0 generate apbo(7) <= apb_none; end generate;
310
 
311
  ahbjtaggen0 : if CFG_AHB_JTAG = 1 generate
312
    ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => CFG_NCPU+CFG_AHB_UART)
313
      port map(rstn, clkm, gnd(0), gnd(0), gnd(0), open, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART),
314
               open, open, open, open, open, open, open, gnd(0));
315
  end generate;
316
 
317
 
318
----------------------------------------------------------------------
319
---  Memory controllers ----------------------------------------------
320
----------------------------------------------------------------------
321
 
322
  src : if CFG_SRCTRL = 1 generate      -- 32-bit PROM/SRAM controller
323
    sr0 : srctrl generic map (hindex => 0, ramws => CFG_SRCTRL_RAMWS,
324
        romws => CFG_SRCTRL_PROMWS, ramaddr => 16#400#,
325
        prom8en => CFG_SRCTRL_8BIT, rmw => CFG_SRCTRL_RMW)
326
    port map (rstn, clkm, ahbsi, ahbso(0), memi, memo, sdo3);
327
    apbo(0) <= apb_none;
328
  end generate;
329
 
330
  mg2 : if CFG_MCTRL_LEON2 = 1 generate         -- LEON2 memory controller
331
    sr1 : smc_mctrl generic map (hindex => 0, pindex => 0, paddr => 0,
332
        srbanks => 2, sden => CFG_MCTRL_SDEN, ram8 => CFG_MCTRL_RAM8BIT,
333
        ram16 => CFG_MCTRL_RAM16BIT, invclk => CFG_MCTRL_INVCLK,
334
        sepbus => CFG_MCTRL_SEPBUS, sdbits => 32 + 32*CFG_MCTRL_SD64)
335
    port map (rstn, clkm, memi, memo, ahbsi, ahbso(0), apbi, apbo(0), wpo, sdo,
336
             s_eth_aen, s_eth_readn, s_eth_writen, s_eth_nbe);
337
    sdpads : if CFG_MCTRL_SDEN = 1 generate     -- SDRAM controller
338
      sd2 : if CFG_MCTRL_SEPBUS = 1 generate
339
        sa_pad : outpadv generic map (width => 12) port map (sa, memo.sa(11 downto 0));
340
        sdba_pad : outpadv generic map (width => 2) port map (sdba, memo.sa(14 downto 13));
341
        bdr : for i in 0 to 3 generate
342
          sd_pad : iopadv generic map (tech => padtech, width => 8)
343
          port map (sd(31-i*8 downto 24-i*8), memo.data(31-i*8 downto 24-i*8),
344
                memo.bdrive(i), memi.sd(31-i*8 downto 24-i*8));
345
          sd2 : if CFG_MCTRL_SD64 = 1 generate
346
            sd_pad2 : iopadv generic map (tech => padtech, width => 8)
347
            port map (sd(31-i*8+32 downto 24-i*8+32), memo.data(31-i*8 downto 24-i*8),
348
                memo.bdrive(i), memi.sd(31-i*8+32 downto 24-i*8+32));
349
          end generate;
350
        end generate;
351
      end generate;
352
      sdwen_pad : outpad generic map (tech => padtech)
353
           port map (sdwen, sdo.sdwen);
354
      sdras_pad : outpad generic map (tech => padtech)
355
           port map (sdrasn, sdo.rasn);
356
      sdcas_pad : outpad generic map (tech => padtech)
357
           port map (sdcasn, sdo.casn);
358
      sddqm_pad : outpadv generic map (width =>4, tech => padtech)
359
           port map (sddqm, sdo.dqm(3 downto 0));
360
    end generate;
361
    sdcke_pad : outpad generic map (tech => padtech) port map (sdcke, sdo.sdcke(0));
362
    sdcsn_pad : outpad generic map (tech => padtech) port map (sdcsn, sdo.sdcsn(0));
363
  end generate;
364
 
365
  wpn <= '1'; byten <= '0';
366
 
367
  nosd0 : if (CFG_MCTRL_LEON2 = 0) generate      -- no SDRAM controller
368
     sdcke_pad : outpad generic map (tech => padtech) port map (sdcke, sdo3.sdcke(0));
369
     sdcsn_pad : outpad generic map (tech => padtech) port map (sdcsn, sdo3.sdcsn(0));
370
  end generate;
371
 
372
  memi.brdyn  <= '1'; memi.bexcn <= '1';
373
  memi.writen <= '1'; memi.wrn <= "1111"; memi.bwidth <= "00";
374
 
375
  mg0 : if not ((CFG_SRCTRL = 1) or (CFG_MCTRL_LEON2 = 1)) generate     -- no prom/sram pads
376
    apbo(0) <= apb_none; ahbso(0) <= ahbs_none;
377
    rams_pad : outpad generic map (tech => padtech)
378
      port map (ramsn, vcc(0));
379
    roms_pad : outpad generic map (tech => padtech)
380
      port map (romsn, vcc(0));
381
  end generate;
382
 
383
  mgpads : if (CFG_SRCTRL = 1) or (CFG_MCTRL_LEON2 = 1) generate        -- prom/sram pads
384
    addr_pad : outpadv generic map (width => 24, tech => padtech)
385
      port map (address, memo.address(23 downto 0));
386
    memb_pad : outpadv generic map (width => 4, tech => padtech)
387
      port map (mben, memo.mben);
388
    rams_pad : outpad generic map (tech => padtech)
389
      port map (ramsn, memo.ramsn(0));
390
    roms_pad : outpad generic map (tech => padtech)
391
      port map (romsn, memo.romsn(0));
392
    oen_pad : outpad generic map (tech => padtech)
393
      port map (oen, memo.oen);
394
    rwen_pad : outpad generic map (tech => padtech)
395
      port map (rwen, memo.wrn(0));
396
    roen_pad : outpad generic map (tech => padtech)
397
      port map (ramoen, memo.ramoen(0));
398
    wri_pad : outpad generic map (tech => padtech)
399
      port map (writen, memo.writen);
400
-- pragma translate_off
401
   iosn_pad : outpad generic map (tech => padtech)
402
      port map (iosn, memo.iosn);
403
-- pragma translate_on
404
 
405
   -- for smc lan chip
406
   eth_aen_pad : outpad generic map (tech => padtech)
407
      port map (eth_aen, s_eth_aen);
408
   eth_readn_pad : outpad generic map (tech => padtech)
409
      port map (eth_readn, s_eth_readn);
410
   eth_writen_pad : outpad generic map (tech => padtech)
411
      port map (eth_writen, s_eth_writen);
412
   eth_nbe_pad : outpadv generic map (width => 4, tech => padtech)
413
      port map (eth_nbe, s_eth_nbe);
414
 
415
    bdr : for i in 0 to 3 generate
416
      data_pad : iopadv generic map (tech => padtech, width => 8)
417
        port map (data(31-i*8 downto 24-i*8), memo.data(31-i*8 downto 24-i*8),
418
                  memo.bdrive(i), memi.data(31-i*8 downto 24-i*8));
419
    end generate;
420
  end generate;
421
 
422
----------------------------------------------------------------------
423
---  APB Bridge and various periherals -------------------------------
424
----------------------------------------------------------------------
425
 
426
  apb0 : apbctrl                        -- AHB/APB bridge
427
    generic map (hindex => 1, haddr => CFG_APBADDR)
428
    port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo);
429
 
430
  ua1 : if CFG_UART1_ENABLE /= 0 generate
431
    uart1 : apbuart                     -- UART 1
432
      generic map (pindex   => 1, paddr => 1, pirq => 2, console => dbguart,
433
                   fifosize => CFG_UART1_FIFO)
434
      port map (rstn, clkm, apbi, apbo(1), u1i, u1o);
435
    u1i.rxd <= rxd1; u1i.ctsn <= '0'; u1i.extclk <= '0'; txd1 <= u1o.txd;
436
  end generate;
437
  noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate;
438
 
439
  irqctrl : if CFG_IRQ3_ENABLE /= 0 generate
440
    irqctrl0 : irqmp                    -- interrupt controller
441
      generic map (pindex => 2, paddr => 2, ncpu => NCPU)
442
      port map (rstn, clkm, apbi, apbo(2), irqo, irqi);
443
  end generate;
444
  irq3 : if CFG_IRQ3_ENABLE = 0 generate
445
    x : for i in 0 to NCPU-1 generate
446
      irqi(i).irl <= "0000";
447
    end generate;
448
    apbo(2) <= apb_none;
449
  end generate;
450
 
451
  gpt : if CFG_GPT_ENABLE /= 0 generate
452
    timer0 : gptimer                    -- timer unit
453
      generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ,
454
                   sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM,
455
                   nbits  => CFG_GPT_TW)
456
      port map (rstn, clkm, apbi, apbo(3), gpti, open);
457
    gpti.dhalt <= dsuo.active; gpti.extclk <= '0';
458
  end generate;
459
  notim : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate;
460
 
461
  gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate     -- GPIO unit
462
    grgpio0: grgpio
463
    generic map(pindex => 5, paddr => 5, imask => CFG_GRGPIO_IMASK, nbits => CFG_GRGPIO_WIDTH)
464
    port map(rst => rstn, clk => clkm, apbi => apbi, apbo => apbo(5),
465
    gpioi => gpioi, gpioo => gpioo);
466
    pio_pads : for i in 0 to CFG_GRGPIO_WIDTH-1 generate
467
        pio_pad : iopad generic map (tech => padtech)
468
            port map (gpio(i), gpioo.dout(i), gpioo.oen(i), gpioi.din(i));
469
    end generate;
470
  end generate;
471
 
472
 
473
-----------------------------------------------------------------------
474
---  ATA Controller ---------------------------------------------------
475
-----------------------------------------------------------------------
476
  atac : if CFG_ATA = 1 generate
477
     atac0 : atactrl
478
      generic map(tech => 0, fdepth => CFG_ATAFIFO,
479
        mhindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
480
        shindex => 5, haddr => CFG_ATAIO, hmask => 16#fff#, pirq => CFG_ATAIRQ,
481
        mwdma => CFG_ATADMA, TWIDTH => 8,
482
         -- PIO mode 0 settings (@100MHz clock)
483
         PIO_mode0_T1   => 6,   -- 70ns
484
         PIO_mode0_T2   => 28,  -- 290ns
485
         PIO_mode0_T4   => 2,   -- 30ns
486
         PIO_mode0_Teoc => 23   -- 240ns ==> T0 - T1 - T2 = 600 - 70 - 290 = 240
487
      )
488
      port map(rst => rstn, arst  => vcc(0), clk => clkm, ahbsi => ahbsi,
489
         ahbso => ahbso(5), ahbmi => ahbmi, ahbmo => ahbmo(NCPU+CFG_AHB_UART+CFG_AHB_JTAG),
490
         cfo => cf, atai => atai, atao => atao);
491
 
492
-- pragma translate_off
493
       ata_rst_pad : outpad generic map (tech => padtech)
494
         port map (ata_rst, atao.rstn);
495
-- pragma translate_on
496
       ata_data_pad : iopadv generic map (tech => padtech, width => 16, oepol => 1)
497
         port map (ata_data, atao.ddo, atao.oen, atai.ddi);
498
       ata_da_pad : outpadv generic map (tech => padtech, width => 3)
499
         port map (ata_da, atao.da);
500
       ata_cs0_pad : outpad generic map (tech => padtech)
501
         port map (ata_cs0, atao.cs0);
502
       ata_cs1_pad : outpad generic map (tech => padtech)
503
         port map (ata_cs1, atao.cs1);
504
       ata_dior_pad : outpad generic map (tech => padtech)
505
         port map (ata_dior, atao.dior);
506
       ata_diow_pad : outpad generic map (tech => padtech)
507
         port map (ata_diow, atao.diow);
508
       iordy_pad : inpad generic map (tech => padtech)
509
         port map (ata_iordy, atai.iordy);
510
       intrq_pad : inpad generic map (tech => padtech)
511
         port map (ata_intrq, atai.intrq);
512
--       dmarq_pad : inpad generic map (tech => padtech)
513
--         port map (ata_dmarq, ata.dmarq);
514
       dmack_pad : outpad generic map (tech => padtech)
515
         port map (ata_dmack, atao.dmack);
516
 
517
       -- for CompactFlach mode selection
518
       cf_gnd_da_pad : outpadv generic map (tech => padtech, width => 8)
519
         port map (cf_gnd_da, cf.da);
520
       cf_atasel_pad : outpad generic map (tech => padtech)
521
         port map (cf_atasel, cf.atasel);
522
       cf_we_pad : outpad generic map (tech => padtech)
523
         port map (cf_we, cf.we);
524
       cf_power_pad : outpad generic map (tech => padtech)
525
         port map (cf_power, cf.power);
526
       cf_csel_pad : outpad generic map (tech => padtech)
527
         port map (cf_csel, cf.csel);
528
 
529
    end generate;
530
 
531
-----------------------------------------------------------------------
532
---  AHB ROM ----------------------------------------------------------
533
-----------------------------------------------------------------------
534
 
535
  bpromgen : if CFG_AHBROMEN /= 0 generate
536
    brom : entity work.ahbrom
537
      generic map (hindex => 6, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP)
538
      port map ( rstn, clkm, ahbsi, ahbso(6));
539
  end generate;
540
  nobpromgen : if CFG_AHBROMEN = 0 generate
541
     ahbso(6) <= ahbs_none;
542
  end generate;
543
 
544
-----------------------------------------------------------------------
545
---  AHB RAM ----------------------------------------------------------
546
-----------------------------------------------------------------------
547
 
548
  ahbramgen : if CFG_AHBRAMEN = 1 generate
549
    ahbram0 : ahbram generic map (hindex => 3, haddr => CFG_AHBRADDR,
550
                                  tech   => CFG_MEMTECH, kbytes => CFG_AHBRSZ)
551
      port map (rstn, clkm, ahbsi, ahbso(3));
552
  end generate;
553
  nram : if CFG_AHBRAMEN = 0 generate ahbso(3) <= ahbs_none; end generate;
554
 
555
-----------------------------------------------------------------------
556
---  Drive unused bus elements  ---------------------------------------
557
-----------------------------------------------------------------------
558
 
559
  nam1 : for i in (NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_ATA) to NAHBMST-1 generate
560
    ahbmo(i) <= ahbm_none;
561
  end generate;
562
  nap0 : for i in 6 to NAPBSLV-1 generate apbo(i) <= apb_none; end generate;
563
  nah0 : for i in 7 to NAHBSLV-1 generate ahbso(i) <= ahbs_none; end generate;
564
 
565
  -- invert signal for input via a key
566
  dsubre  <= not dsubren;
567
 
568
  -- for smc lan chip
569
  eth_lclk     <= vcc(0);
570
  eth_nads     <= gnd(0);
571
  eth_ncycle   <= vcc(0);
572
  eth_wnr      <= vcc(0);
573
  eth_nvlbus   <= vcc(0);
574
  eth_nrdyrtn  <= vcc(0);
575
  eth_ndatacs  <= vcc(0);
576
 
577
-----------------------------------------------------------------------
578
---  Boot message  ----------------------------------------------------
579
-----------------------------------------------------------------------
580
 
581
-- pragma translate_off
582
  x : report_version
583
  generic map (
584
   msg1 => "LEON3 Altera EP2C60 SDR Demonstration design",
585
   msg2 => "GRLIB Version " & tost(LIBVHDL_VERSION/1000) & "." & tost((LIBVHDL_VERSION mod 1000)/100)
586
      & "." & tost(LIBVHDL_VERSION mod 100) & ", build " & tost(LIBVHDL_BUILD),
587
   msg3 => "Target technology: " & tech_table(fabtech) & ",  memory library: " & tech_table(memtech),
588
   mdel => 1
589
  );
590
-- pragma translate_on
591
 
592
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.