OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-altera-ep3c25-eek/] [wave.do] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
onerror {resume}
2
quietly WaveActivateNextPane {} 0
3
add wave -noupdate -divider {Testbench top-level}
4
add wave -noupdate -format Logic /testbench/clk
5
add wave -noupdate -format Logic /testbench/clkout
6
add wave -noupdate -format Logic /testbench/pllref
7
add wave -noupdate -format Logic /testbench/rst
8
add wave -noupdate -format Literal /testbench/address
9
add wave -noupdate -format Literal /testbench/data
10
add wave -noupdate -format Logic /testbench/romsn
11
add wave -noupdate -format Logic /testbench/iosn
12
add wave -noupdate -format Logic /testbench/oen
13
add wave -noupdate -format Logic /testbench/writen
14
add wave -noupdate -format Logic /testbench/dsuen
15
add wave -noupdate -format Logic /testbench/dsutx
16
add wave -noupdate -format Logic /testbench/dsurx
17
add wave -noupdate -format Logic /testbench/dsubren
18
add wave -noupdate -format Logic /testbench/dsuact
19
add wave -noupdate -format Logic /testbench/dsurst
20
add wave -noupdate -format Logic /testbench/test
21
add wave -noupdate -format Logic /testbench/error
22
add wave -noupdate -format Literal /testbench/gpio
23
add wave -noupdate -format Logic /testbench/gnd
24
add wave -noupdate -format Logic /testbench/vcc
25
add wave -noupdate -format Logic /testbench/nc
26
add wave -noupdate -format Logic /testbench/clk2
27
add wave -noupdate -format Logic /testbench/ssram_cen
28
add wave -noupdate -format Logic /testbench/ssram_wen
29
add wave -noupdate -format Literal /testbench/ssram_bw
30
add wave -noupdate -format Logic /testbench/ssram_oen
31
add wave -noupdate -format Logic /testbench/ssram_clk
32
add wave -noupdate -format Logic /testbench/ssram_adscn
33
add wave -noupdate -format Logic /testbench/ssram_adsp_n
34
add wave -noupdate -format Logic /testbench/ssram_adv_n
35
add wave -noupdate -format Literal /testbench/datazz
36
add wave -noupdate -format Logic /testbench/ddr_clk
37
add wave -noupdate -format Logic /testbench/ddr_clkb
38
add wave -noupdate -format Logic /testbench/ddr_clkin
39
add wave -noupdate -format Logic /testbench/ddr_cke
40
add wave -noupdate -format Logic /testbench/ddr_csb
41
add wave -noupdate -format Logic /testbench/ddr_web
42
add wave -noupdate -format Logic /testbench/ddr_rasb
43
add wave -noupdate -format Logic /testbench/ddr_casb
44
add wave -noupdate -format Literal /testbench/ddr_dm
45
add wave -noupdate -format Literal /testbench/ddr_dqs
46
add wave -noupdate -format Literal /testbench/ddr_ad
47
add wave -noupdate -format Literal /testbench/ddr_ba
48
add wave -noupdate -format Literal /testbench/ddr_dq
49
add wave -noupdate -format Logic /testbench/hc_vd
50
add wave -noupdate -format Logic /testbench/hc_hd
51
add wave -noupdate -format Logic /testbench/hc_den
52
add wave -noupdate -format Logic /testbench/hc_nclk
53
add wave -noupdate -format Literal /testbench/hc_lcd_data
54
add wave -noupdate -format Logic /testbench/hc_grest
55
add wave -noupdate -format Logic /testbench/hc_scen
56
add wave -noupdate -format Logic /testbench/hc_sda
57
add wave -noupdate -format Logic /testbench/hc_adc_penirq_n
58
add wave -noupdate -format Logic /testbench/hc_adc_dout
59
add wave -noupdate -format Logic /testbench/hc_adc_busy
60
add wave -noupdate -format Logic /testbench/hc_adc_din
61
add wave -noupdate -format Logic /testbench/hc_adc_dclk
62
add wave -noupdate -format Logic /testbench/hc_adc_cs_n
63
add wave -noupdate -format Logic /testbench/hc_i2c_sclk
64
add wave -noupdate -format Logic /testbench/hc_i2c_sdat
65
add wave -noupdate -format Literal /testbench/hc_td_d
66
add wave -noupdate -format Logic /testbench/hc_td_hs
67
add wave -noupdate -format Logic /testbench/hc_td_vs
68
add wave -noupdate -format Logic /testbench/hc_td_27mhz
69
add wave -noupdate -format Logic /testbench/hc_td_reset
70
add wave -noupdate -format Logic /testbench/hc_aud_adclrck
71
add wave -noupdate -format Logic /testbench/hc_aud_adcdat
72
add wave -noupdate -format Logic /testbench/hc_aud_daclrck
73
add wave -noupdate -format Logic /testbench/hc_aud_dacdat
74
add wave -noupdate -format Logic /testbench/hc_aud_bclk
75
add wave -noupdate -format Logic /testbench/hc_aud_xck
76
add wave -noupdate -format Logic /testbench/hc_sd_dat
77
add wave -noupdate -format Logic /testbench/hc_sd_dat3
78
add wave -noupdate -format Logic /testbench/hc_sd_cmd
79
add wave -noupdate -format Logic /testbench/hc_sd_clk
80
add wave -noupdate -format Literal /testbench/hc_tx_d
81
add wave -noupdate -format Literal /testbench/hc_rx_d
82
add wave -noupdate -format Logic /testbench/hc_tx_clk
83
add wave -noupdate -format Logic /testbench/hc_rx_clk
84
add wave -noupdate -format Logic /testbench/hc_tx_en
85
add wave -noupdate -format Logic /testbench/hc_rx_dv
86
add wave -noupdate -format Logic /testbench/hc_rx_crs
87
add wave -noupdate -format Logic /testbench/hc_rx_err
88
add wave -noupdate -format Logic /testbench/hc_rx_col
89
add wave -noupdate -format Logic /testbench/hc_mdio
90
add wave -noupdate -format Logic /testbench/hc_mdc
91
add wave -noupdate -format Logic /testbench/hc_eth_reset_n
92
add wave -noupdate -format Logic /testbench/hc_uart_rxd
93
add wave -noupdate -format Logic /testbench/hc_uart_txd
94
add wave -noupdate -format Logic /testbench/hc_ps2_dat
95
add wave -noupdate -format Logic /testbench/hc_ps2_clk
96
add wave -noupdate -format Literal /testbench/hc_vga_data
97
add wave -noupdate -format Logic /testbench/hc_vga_clock
98
add wave -noupdate -format Logic /testbench/hc_vga_hs
99
add wave -noupdate -format Logic /testbench/hc_vga_vs
100
add wave -noupdate -format Logic /testbench/hc_vga_blank
101
add wave -noupdate -format Logic /testbench/hc_vga_sync
102
add wave -noupdate -format Logic /testbench/hc_id_i2cscl
103
add wave -noupdate -format Logic /testbench/hc_id_i2cdat
104
add wave -noupdate -format Logic /testbench/phy_tx_er
105
add wave -noupdate -format Logic /testbench/phy_gtx_clk
106
add wave -noupdate -format Literal /testbench/hc_tx_dt
107
add wave -noupdate -format Literal /testbench/hc_rx_dt
108
add wave -noupdate -divider {CPU 1}
109
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/p0/iu0/ici
110
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/p0/iu0/ico
111
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/p0/iu0/dci
112
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/p0/iu0/dco
113
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/p0/iu0/rfi
114
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/p0/iu0/rfo
115
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/p0/iu0/irqi
116
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/p0/iu0/irqo
117
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/p0/iu0/dbgi
118
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/p0/iu0/dbgo
119
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/p0/iu0/r
120
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/p0/iu0/wpr
121
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/p0/iu0/dsur
122
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/p0/iu0/ir
123
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/cmem0/crami
124
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/cmem0/cramo
125
add wave -noupdate -divider {New Divider}
126
TreeUpdate [SetDefaultTree]
127
WaveRestoreCursors {{Cursor 1} {119390198 ps} 0}
128
configure wave -namecolwidth 314
129
configure wave -valuecolwidth 136
130
configure wave -justifyvalue left
131
configure wave -signalnamewidth 0
132
configure wave -snapdistance 10
133
configure wave -datasetprefix 0
134
configure wave -rowmargin 4
135
configure wave -childrowmargin 2
136
configure wave -gridoffset 0
137
configure wave -gridperiod 1
138
configure wave -griddelta 40
139
configure wave -timeline 0
140
update
141
WaveRestoreZoom {120003641 ps} {122684879 ps}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.